期刊大全 雜志訂閱 SCI期刊 投稿指導 期刊服務 文秘服務 出版社 登錄/注冊 購物車(0)

首頁 > 精品范文 > 集成電路設計規(guī)則

集成電路設計規(guī)則精品(七篇)

時間:2023-12-02 15:55:19

序論:寫作是一種深度的自我表達。它要求我們深入探索自己的思想和情感,挖掘那些隱藏在內(nèi)心深處的真相,好投稿為您帶來了七篇集成電路設計規(guī)則范文,愿它們成為您寫作過程中的靈感催化劑,助力您的創(chuàng)作。

集成電路設計規(guī)則

篇(1)

在非微電子專業(yè)如計算機、通信、信號處理、自動化、機械等專業(yè)開設集成電路設計技術(shù)相關(guān)課程,一方面,這些專業(yè)的學生有電子電路基礎(chǔ)知識,又有自己本專業(yè)的知識,可以從本專業(yè)的系統(tǒng)角度來理解和設計集成電路芯片,非常適合進行各種應用的集成電路芯片設計階段的工作,這些專業(yè)也是目前芯片設計需求最旺盛的領(lǐng)域;另一方面,對于這些專業(yè)學生的應用特點,不宜也不可能開設微電子專業(yè)的所有課程,也不宜將集成電路設計階段的許多技術(shù)(如低功耗設計、可測性設計等)開設為單獨課程,而是要將相應課程整合,開設一到二門集成電路設計的綜合課程,使學生既能夠掌握集成電路設計基本技術(shù)流程,也能夠了解集成電路設計方面更深層的技術(shù)和發(fā)展趨勢。因此,在課程的具體設置上,應該把握以下原則。理論講授與實踐操作并重集成電路設計技術(shù)是一門實踐性非常強的課程。隨著電子信息技術(shù)的飛速發(fā)展,采用EDA工具進行電路輔助設計,已經(jīng)成為集成電路芯片主流的設計方法。因此,在理解電路和芯片設計的基本原理和流程的基礎(chǔ)上,了解和掌握相關(guān)設計工具,是掌握集成電路設計技術(shù)的重要環(huán)節(jié)。技能培訓與前瞻理論皆有在課程的內(nèi)容設置中,既要有使學生掌握集成電路芯片設計能力和技術(shù)的講授和實踐,又有對集成電路芯片設計新技術(shù)和更高層技術(shù)的介紹。這樣通過本門課程的學習,一方面,學員掌握了一項實實在在有用的技術(shù);另一方面,學員了解了該項技術(shù)的更深和更新的知識,有利于在碩、博士階段或者在工作崗位上,對集成電路芯片設計技術(shù)的繼續(xù)研究和學習。基礎(chǔ)理論和技術(shù)流程隔離由于是針對非微電子專業(yè)開設的課程,因此在課程講授中不涉及電路設計的一些原理性知識,如半導體物理及器件、集成電路的工藝原理等,而是將主要精力放在集成電路芯片的設計與實現(xiàn)技術(shù)上,這樣非微電子專業(yè)的學生能夠很容易入門,提高其學習興趣和熱情。

2非微電子專業(yè)集成電路設計課程實踐

根據(jù)以上原則,信息工程大學根據(jù)具體實際,在計算機、通信、信號處理、密碼等相關(guān)專業(yè)開設集成電路芯片設計技術(shù)課程,根據(jù)近兩年的教學情況來看,取得良好的效果。該課程的主要特點如下。優(yōu)化的理論授課內(nèi)容

1)集成電路芯片設計概論:介紹IC設計的基本概念、IC設計的關(guān)鍵技術(shù)、IC技術(shù)的發(fā)展和趨勢等內(nèi)容。使學員對IC設計技術(shù)有一個大概而全面的了解,了解IC設計技術(shù)的發(fā)展歷程及基本情況,理解IC設計技術(shù)的基本概念;了解IC設計發(fā)展趨勢和新技術(shù),包括軟硬件協(xié)同設計技術(shù)、IC低功耗設計技術(shù)、IC可重用設計技術(shù)等。

2)IC產(chǎn)業(yè)鏈及設計流程:介紹集成電路產(chǎn)業(yè)的歷史變革、目前形成的“四業(yè)分工”,以及數(shù)字IC設計流程等內(nèi)容。使學員了解集成電路產(chǎn)業(yè)的變革和分工,了解設計、制造、封裝、測試等環(huán)節(jié)的一些基本情況,了解數(shù)字IC的整個設計流程,包括代碼編寫與仿真、邏輯綜合與布局布線、時序驗證與物理驗證及芯片面積優(yōu)化、時鐘樹綜合、掃描鏈插入等內(nèi)容。

3)RTL硬件描述語言基礎(chǔ):主要講授Verilog硬件描述語言的基本語法、描述方式、設計方法等內(nèi)容。使學員能夠初步掌握使用硬件描述語言進行數(shù)字邏輯電路設計的基本語法,了解大型電路芯片的基本設計規(guī)則和設計方法,并通過設計實踐學習和鞏固硬件電路代碼編寫和調(diào)試能力。

4)系統(tǒng)集成設計基礎(chǔ):主要講授更高層次的集成電路芯片如片上系統(tǒng)(SoC)、片上網(wǎng)絡(NoC)的基本概念和集成設計方法。使學員初步了解大規(guī)模系統(tǒng)級芯片架構(gòu)設計的基礎(chǔ)方法及主要片內(nèi)嵌入式處理器核。豐富的實踐操作內(nèi)容

1)Verilog代碼設計實踐:學習通過課下編碼、上機調(diào)試等方式,初步掌握使用Verilog硬件描述語言進行基本數(shù)字邏輯電路設計的能力,并通過給定的IP核或代碼模塊的集成,掌握大型芯片電路的集成設計能力。

2)IC前端設計基礎(chǔ)實踐:依托Synopsys公司數(shù)字集成電路前端設計平臺DesignCompiler,使學員通過上機演練,初步掌握使用DesignCompiler進行集成電路前端設計的流程和方法,主要包括RTL綜合、時序約束、時序優(yōu)化、可測性設計等內(nèi)容。

3)IC后端設計基礎(chǔ)實踐:依托Synopsys公司數(shù)字集成電路后端設計平臺ICCompiler,使學員通過上機演練,初步掌握使用ICCompiler進行集成電路后端設計的流程和方法,主要包括后端設計準備、版圖規(guī)劃與電源規(guī)劃、物理綜合與全局優(yōu)化、時鐘樹綜合、布線操作、物理驗證與最終優(yōu)化等內(nèi)容。靈活的考核評價機制

1)IC設計基本知識筆試:通過閉卷考試的方式,考查學員隊IC設計的一些基本知識,如基本概念、基本設計流程、簡單的代碼編寫等。

2)IC設計上機實踐操作:通過上機操作的形式,給定一個具體并相對簡單的芯片設計代碼,要求學員使用Synopsys公司數(shù)字集成電路設計前后端平臺,完成整個芯片的前后端設計和驗證流程。

3)IC設計相關(guān)領(lǐng)域報告:通過撰寫報告的形式,要求學員查閱IC設計領(lǐng)域的相關(guān)技術(shù)文獻,包括該領(lǐng)域的前沿研究技術(shù)、設計流程中相關(guān)技術(shù)點的深入研究、集成電路設計領(lǐng)域的發(fā)展歷程和趨勢等,撰寫相應的專題報告。

3結(jié)語

篇(2)

集成電路是當今信息技術(shù)產(chǎn)業(yè)高速發(fā)展的基礎(chǔ)和源動力,已經(jīng)高度滲透與融合到國民經(jīng)濟和社會發(fā)展的每個領(lǐng)域,其技術(shù)水平和發(fā)展規(guī)模已成為衡量一個國家產(chǎn)業(yè)競爭力和綜合國力的重要標志之一[1],美國更將其視為未來20年從根本上改造制造業(yè)的四大技術(shù)領(lǐng)域之首。我國擁有全球最大、增長最快的集成電路市場,2013年規(guī)模達9166億元,占全球市場份額的50%左右。近年來,國家大力發(fā)展集成電路,在上海浦東等地建立了集成電路產(chǎn)業(yè)基地,對于集成電路設計、制造、封裝、測試等方面的專門技術(shù)人才需求巨大。為了適應產(chǎn)業(yè)需求,推進我國集成電路發(fā)展,許多高校開設了電子科學與技術(shù)專業(yè),以培養(yǎng)集成電路方向的專業(yè)人才。集成電路版圖設計是電路設計與集成電路工藝之間必不可少的環(huán)節(jié)。據(jù)相關(guān)統(tǒng)計,在從事集成電路設計工作的電子科學與技術(shù)專業(yè)的應屆畢業(yè)生中,由于具有更多的電路知識儲備,研究生的從業(yè)比例比本科生高出很多。而以集成電路版圖為代表包括集成電路測試以及工藝等與集成電路設計相關(guān)的工作,相對而言對電路設計知識的要求低很多。因而集成電路版圖設計崗位對本科生而言更具競爭力。在版圖設計崗位工作若干年知識和經(jīng)驗的積累也將有利于從事集成電路設計工作。因此,版圖設計工程師的培養(yǎng)也成為了上海電力學院電子科學與技術(shù)專業(yè)本科人才培養(yǎng)的重要方向和辦學特色。本文根據(jù)上海電力學院電子科學與技術(shù)專業(yè)建設的目標,結(jié)合本校人才培養(yǎng)和專業(yè)建設目標,就集成電路版圖設計理論和實驗教學環(huán)節(jié)進行了探索和實踐。

一、優(yōu)化理論教學方法,豐富教學手段,突出課程特點

集成電路版圖作為一門電子科學與技術(shù)專業(yè)重要的專業(yè)課程,教學內(nèi)容與電子技術(shù)(模擬電路和數(shù)字電路)、半導體器件、集成電路設計基礎(chǔ)等先修課程中的電路理論、器件基礎(chǔ)和工藝原理等理論知識緊密聯(lián)系,同時版圖設計具有很強的實踐特點。因此,必須從本專業(yè)學生的實際特點和整個專業(yè)課程布局出發(fā),注重課程與其他課程承前啟后,有機融合,摸索出一套實用有效的教學方法。在理論授課過程中從集成電路的設計流程入手,在CMOS集成電路和雙極集成電路基本工藝進行概述的基礎(chǔ)上,從版圖基本單元到電路再到芯片循序漸進地講授集成電路版圖結(jié)構(gòu)、設計原理和方法,做到與上游知識點的融會貫通。

集成電路的規(guī)模已發(fā)展到片上系統(tǒng)(SOC)階段,教科書的更新速度遠遠落后于集成電路技術(shù)的發(fā)展速度。集成電路工藝線寬達到了納米量級,對于集成電路版圖設計在當前工藝條件下出現(xiàn)的新問題和新規(guī)則,通過查閱最新的文獻資料,向?qū)W生介紹版圖設計前沿技術(shù)與發(fā)展趨勢,開拓學生視野,提升學習熱情。在課堂教學中盡量減少冗長的公式和繁復的理論推導,將理論講解和工程實踐相結(jié)合,通過工程案例使學生了解版圖設計是科學、技術(shù)和經(jīng)驗的有機結(jié)合。比如,在有關(guān)天線效應的教學過程中針對一款采用中芯國際(SMIC)0.18um 1p6m工藝的雷達信號處理SOC 芯片,結(jié)合跳線法和反偏二極管的天線效應消除方法,詳細闡述版圖設計中完全修正天線規(guī)則違例的關(guān)鍵步驟,極大地激發(fā)了學生的學習興趣,收到了較好的教學效果。

集成電路版圖起著承接電路設計和芯片實現(xiàn)的重要作用。通過版圖設計,可以將立體的電路轉(zhuǎn)化為二維的平面幾何圖形,再通過工藝加工轉(zhuǎn)化為基于半導體硅材料的立體結(jié)構(gòu)[2]。集成電路版圖設計是集成電路流程中的重要環(huán)節(jié),與集成電路工藝密切相關(guān)。為了讓學生獲得直觀、準確和清楚的認識,制作了形象生動、圖文并茂的多媒體教學課件,將集成電路典型的設計流程、雙極和CMOS集成電路工藝流程、芯片內(nèi)部結(jié)構(gòu)、版圖的層次等內(nèi)容以圖片、Flash動畫、視頻等形式進行展示。

版圖包含了集成電路尺寸、各層拓撲定義等器件相關(guān)的物理信息數(shù)據(jù)[3]。掩膜上的圖形決定著芯片上器件或連接物理層的尺寸。因此版圖上的幾何圖形尺寸與芯片上物理層的尺寸直接相關(guān)。而集成電路制造廠家根據(jù)版圖數(shù)據(jù)來制造掩膜,對于同種工藝各個foundry廠商所提供的版圖設計規(guī)則各不相同[4]。教學實踐中注意將先進的典型芯片版圖設計實例引入課堂,例如舉出臺灣積體電路制造公司(TSMC)的45nm CMOS工藝的數(shù)模轉(zhuǎn)換器的芯片版圖實例,讓學生從當今業(yè)界實際制造芯片的角度學習和掌握版圖設計的規(guī)則,同時切實感受到模擬版圖和數(shù)字版圖設計的藝術(shù)。

二、利用業(yè)界主流EDA工具,構(gòu)建基于完整版圖設計流程的實驗體系

集成電路版圖設計實驗采用了Cadence公司的EDA工具進行版圖設計。Cadence的EDA產(chǎn)品涵蓋了電子設計的整個流程,包括系統(tǒng)級設計、功能驗證、集成電路(IC)綜合及布局布線、物理驗證、PCB設計和硬件仿真建模模擬、混合信號及射頻IC設計、全定制IC設計等。全球知名半導體與電子系統(tǒng)公司如AMD、NEC、三星、飛利浦均將Cadence軟件作為其全球設計的標準。將業(yè)界主流的EDA設計軟件引入實驗教學環(huán)節(jié),有利于學生畢業(yè)后很快適應崗位,盡快進入角色。

專業(yè)實驗室配備了多臺高性能Sun服務器、工作站以及60臺供學生實驗用的PC機。服務器中安裝的Cadence 工具主要包括:Verilog HDL的仿真工具Verilog-X、電路圖設計工具Composer、電路模擬工具Analog Artist、版圖設計工具Virtuoso Layout Editing、版圖驗證工具Dracula 和Diva、自動布局布線工具Preview和Silicon Ensemble。

Cadence軟件是按照庫(Library)、單元(Cell)、和視圖(View)的層次實現(xiàn)對文件的管理。庫、單元和視圖三者之間的關(guān)系為庫文件是一組單元的集合,包含著各個單元的不同視圖。庫文件包括技術(shù)庫和設計庫兩種,設計庫是針對用戶設立,不同的用戶可以有不同的設計庫。而技術(shù)庫是針對工藝設立,不同特征尺寸的工藝、不同的芯片制造商的技術(shù)庫不同。為了讓學生在掌握主流EDA工具使用的同時對版圖設計流程有準確、深入的理解,安排針對無錫上華公司0.6um兩層多晶硅兩層金屬(Double Poly Double Metal)混合信號CMOS工藝的一系列實驗讓學生掌握包括從電路圖的建立、版圖建立與編輯、電學規(guī)則檢查(ERC),設計規(guī)則檢查(DRC)、到電路圖-版圖一致性檢查(LVS)的完整的版圖設計流程[5]。通過完整的基于設計流程的版圖實驗使學生能較好地掌握電路設計工具Composer、版圖設計工具Virtuoso Layout Editor以及版圖驗證工具Dracula和Diva的使用,同時對版圖設計的關(guān)鍵步驟形成清晰的認識。

以下以CMOS與非門為例,介紹基于一個完整的數(shù)字版圖設計流程的教學實例。

在CMOS與非門的版圖設計中,首先要求學生建立設計庫和技術(shù)庫,在技術(shù)庫中加載CSMC 0.6um的工藝的技術(shù)文件,將設計庫與技術(shù)庫進行關(guān)聯(lián)。然后在設計庫中用Composer中建立相應的電路原理圖(schematic),進行ERC檢查。再根據(jù)電路原理圖用Virtuoso Layout Editor工具繪制對應的版圖(layout)。版圖繪制步驟依次為MOS晶體管的有源區(qū)、多晶硅柵極、MOS管源區(qū)和漏區(qū)的接觸孔、P+注入、N阱、N阱接觸、N+注入、襯底接觸、金屬連線、電源線、地線、輸入及輸出。基本的版圖繪制完成之后,將輸入、輸出端口以及電源線和地線的名稱標注于版圖的適當位置處,再在Dracula工具中利用幾何設計規(guī)則文件進行DRC驗證。然后利用GDS版圖數(shù)據(jù)與電路圖網(wǎng)表進行版圖與原理圖一致性檢查(LVS),修改其中的錯誤并按最小面積優(yōu)化版圖,最后版圖全部通過檢查,設計完成。圖1和圖2分別給出了CMOS與非門的原理圖和版圖。

篇(3)

【關(guān)鍵詞】集成電路; 生產(chǎn); 測試; 技術(shù)

集成電路測試貫穿在集成電路設計、芯片生產(chǎn)、封裝以及集成電路應用的全過程,因此,測試在集成電路生產(chǎn)成本中占有很大比例。而在測試過程中,測試向量的生成又是最主要和最復雜的部分,且對測試效率的要求也越來越高,這就要求有性能良好的測試系統(tǒng)和高效的測試算法。

一、數(shù)字集成電路測試的基本概念

根據(jù)有關(guān)數(shù)字電路的測試技術(shù),由于系統(tǒng)結(jié)構(gòu)取決于數(shù)字邏輯系統(tǒng)結(jié)構(gòu)和數(shù)字電路的模型,因此測試輸入信號和觀察設備必須根據(jù)被測試系統(tǒng)來決定。我們將數(shù)字電路的可測性定義如下:對于數(shù)字電路系統(tǒng),如果每一個輸出的完備信號都具有邏輯結(jié)構(gòu)唯一的代表性,輸出完備信號集合具有邏輯結(jié)構(gòu)覆蓋性,則說系統(tǒng)具有可測性。

二、數(shù)字集成電路測試的特點

(一)數(shù)字電路測試的可控性 系統(tǒng)的可靠性需要每一個完備輸入信號,都會有一個完備輸出信號相對性。也就是說,只要給定一個完備信號作為輸入,就可以預知系統(tǒng)在此信號激勵下的響應。換句話說,對于可控性數(shù)字電路,系統(tǒng)的行為完全可以通過輸入進行控制。從數(shù)字邏輯系統(tǒng)的分析理論可以看出,具有可控性的數(shù)字電路,由于輸入與輸出完備信號之間存在一一映射關(guān)系,因此可以根據(jù)完備信號的對應關(guān)系得到相應的邏輯。

(二)數(shù)字電路測試的可測性 數(shù)字電路的設計,是要實現(xiàn)相應數(shù)字邏輯系統(tǒng)的邏輯行為功能,為了證明數(shù)字電路的邏輯要求,就必須對數(shù)字電路進行相應的測試,通過測試結(jié)果來證明設計結(jié)果的正確性。如果一個系統(tǒng)在設計上屬于優(yōu)秀,從理論上完成了對應數(shù)字邏輯系統(tǒng)的實現(xiàn),但卻無法用實驗結(jié)果證明證實,則這個設計是失敗的。因此,測試對于系統(tǒng)設計來說是十分重要的。從另一個角度來說,測試就是指數(shù)字系統(tǒng)的狀態(tài)和邏輯行為能否被觀察到,同時,所有的測試結(jié)果必須能與數(shù)字電路的邏輯結(jié)構(gòu)相對應。也就是說,測試的結(jié)果必須具有邏輯結(jié)構(gòu)代表性和邏輯結(jié)構(gòu)覆蓋性。

三、數(shù)字電路測驗的作用

與其它任何產(chǎn)品一樣,數(shù)字電路產(chǎn)出來以后要進行測試,以便確認數(shù)字電路是否滿足要求。數(shù)字電路測試至少有以下三個方面的作用:

(一)設計驗證 今天數(shù)字電路的規(guī)模已經(jīng)很大,無論是從經(jīng)濟的角度,還是從時間的角度,都不允許我們在一個芯片制造出來之后,才用現(xiàn)場試驗的方法對這個“樣機”進行測試,而必須是在計算機上用測試的方法對設計進行驗證,這樣既省錢,又省力。

(二)產(chǎn)品檢驗 數(shù)字電路生產(chǎn)中的每一個環(huán)節(jié)都可能出現(xiàn)錯誤,最終導致數(shù)字電路不合格。因此,在數(shù)字電路生產(chǎn)的全過程中均需要測試。產(chǎn)品只有經(jīng)過嚴格的測試后才能出廠。組裝廠家對于買進來的各種數(shù)字電路或其它元件,在它們被裝入系統(tǒng)之前也經(jīng)常進行測試。

(三)運行維護 為了保證運行中的系統(tǒng)能可靠地工作,必須定期或不定期地進行維護。而維護之前首先要進行測試,看看是否存在故障。如果系統(tǒng)存在故障,則還需要進行故障定位,至少需要知道故障出現(xiàn)在那一塊電路板上,以便進行維修或更換。

由此可以看出,數(shù)字電路測試貫穿在數(shù)字電路設計、制造及應用的全過程,被認為是數(shù)字電路產(chǎn)業(yè)中一個重要的組成部分。有人預計,到2016年,IC測試所需的費用將在設計、制造、封裝和測試總費用中占80%-90%的比例。

四、數(shù)字電路測試方法概述

(一)驗證測試 當一款新的芯片第一次被設計并生產(chǎn)出來時,首先要接受驗證測試。在這一階段,將會進行全面的功能測試和交流(AC)及直流(DC)參數(shù)測試。通過驗證測試,可以診斷和修改設計錯誤,測量出芯片的各種電氣參數(shù),并開發(fā)出將在生產(chǎn)中使用的測試流程。

(二)生產(chǎn)測試 當數(shù)字電路的設計方案通過了驗證測試,進入量產(chǎn)階段之后,將利用前一階段調(diào)試好的流程進行生產(chǎn)測試。生產(chǎn)測試的目的就是要明確地做出被測數(shù)字電路是否通過測試的決定。因為每塊數(shù)字電路都要進行生產(chǎn)測試,所以降低測試成本是這一階段的首要問題。因此,生產(chǎn)測試所使用的測試輸入數(shù)(測試集)要盡可能的小,同時還必須有足夠高的故障覆蓋率。

(三)老化測試 每一塊通過了生產(chǎn)測試的數(shù)字電路并不完全相同,其中有一些可能還有這樣或那樣的問題,只是我們暫時還沒有發(fā)現(xiàn),最典型的情況就是同一型號數(shù)字電路的使用壽命大不相同。老化測試為了保證產(chǎn)品的可靠性,通過調(diào)高供電電壓、延長測試時間、提高運行環(huán)境溫度等方式,將不合格的數(shù)字電路篩選出來。

(四)接受測試 當數(shù)字電路送到用戶手中后,用戶將進行再一次的測試。如系統(tǒng)集成商在組裝系統(tǒng)之前,會對買回來的數(shù)字電路和其它各個部件進行測試。只有確認無誤后,才能把它們裝入系統(tǒng)。

五、數(shù)字電路測試的設計

統(tǒng)計數(shù)據(jù)表明,檢測一個故障并排除它,所需要的代價若以芯片級為1的話,則電路板級為10,系統(tǒng)級為102,使用現(xiàn)場級為103。隨著集成電路技術(shù)的快速發(fā)展,對集成電路的測試變得越來越困難。雖然對測試理論和方法的研究一直沒有間斷或停止,但還是遠遠不能滿足集成電路發(fā)展的需求。過去先由設計人員根據(jù)功能、速度和電性能要求來設計電路,然后再由測試人員根據(jù)已設計好的電路制定測試方案,這種傳統(tǒng)的做法已經(jīng)不能適應實際生產(chǎn)的需求。

篇(4)

【關(guān)鍵詞】D觸發(fā)器;半靜態(tài);清零;版圖

A New D flip-flop of semi-static and clear

Zhao Junxia,Zhu Qiaoyan

(Sanjiang College,Nanjing,Jiangsu 210012;NanJing Top Power ASIC)

Abstract:For faster speed、lower power and smaller size,this paper analyzes several used D flip-flops.For the highest frequency and synthesizing their advantages and disadvantages,we design a new type D flip-flop of semi-static and clear.With CSMC 0.6μmN well CMOS process,the layout area is46.500×40.350(μm).The maximum trigger frequency is 356MHz.Using it we constitute the second divider and simulates successfully.

Key words:D flip-flop,semi-static,clear,layout

1.引言

觸發(fā)器是時序電路[1],是在邏輯電路的移位、寄存和計數(shù)功能中被廣泛采用的一種存儲信息的功能部件[2],它靠雙穩(wěn)態(tài)電路來保存信息。觸發(fā)器的種類很多[3],CMOS D型觸發(fā)器是VLSI電路中最基本的也是應用最普遍的,它被廣泛應用于移位和寄存[4]。D觸發(fā)器的D代表延遲或數(shù)據(jù),它的輸出是發(fā)生在早于一個時鐘脈沖之前的D輸入的函數(shù)。在時鐘脈沖期間,在D輸入提供“1”會導致輸出變?yōu)?,否則輸出變?yōu)?。其真值表表明這種關(guān)系,其中Qn+1是時鐘脈沖以后的Q輸出,它取決于D的輸入狀態(tài)[4]。

常見的D觸發(fā)器有:同步D觸發(fā)器、主從型D觸發(fā)器、新型半靜態(tài)低功耗D觸發(fā)器等[5],本文對他們的結(jié)構(gòu)、原理等方面進行分析比較,綜合各自優(yōu)缺點,優(yōu)化最高頻率,設計出一款新型主從型D觸發(fā)器,經(jīng)仿真該觸發(fā)器的最高頻率為356MHz。

2.新型D觸發(fā)器的結(jié)構(gòu)圖

為了減小與時鐘信號相關(guān)聯(lián)的單元電路(如觸發(fā)器)的消耗,本文提出了一種新的半靜態(tài)觸發(fā)器結(jié)構(gòu),并把其中的靜態(tài)鎖存器進一步改進為準靜態(tài)型。

圖1是所設計的新型由CMOS傳輸門和反相器構(gòu)成的D觸發(fā)器的結(jié)構(gòu)圖。反相器F1和傳輸門TG1、TG2組成了主觸發(fā)器,與非門F2和傳輸門TG3、TG4組成了從觸發(fā)器。TG1和TG3分別為主觸發(fā)器和從觸發(fā)器的輸入控制門。反相器F4對時鐘輸入信號CP進行反相及緩沖,其輸出CP和CP'作為傳輸門的控制信號。

根據(jù)CMOS傳輸門的工作原理和圖中控制信號的極性標注可知:當傳輸門TG1、TG4導通時,TG2、TG3截止;反之,當TG1、TG4截止時,TG2、TG3導通。

當,時,TG1導通TG2,截止,D端輸入信號送入主觸發(fā)器中,使,,但這時主觸發(fā)器尚未形成反饋連接,不能自行保持。、跟隨D端的狀態(tài)變化;同時,由于TG3截止,TG4導通,所以從觸發(fā)器形成反饋連接,維持原狀態(tài)不變,而且它與主觸發(fā)器的聯(lián)系被TG3切斷。

當?shù)纳仙氐竭_(即跳變?yōu)?,下降為0)時,TG1截止,TG2導通,切斷了D信號的輸入,由于F1的輸入電容存儲效應,F(xiàn)1輸入端電壓不會立即消失,于是、在TG1截止前的狀態(tài)被保存下來;同時由于TG3導通、TG4截止,主觸發(fā)器的狀態(tài)通過TG3和F3送到了輸出端,使(CP上升沿到達時D的狀態(tài)),而。

在,期間,的狀態(tài)一直不會改變,直到下降沿到達時(即跳變?yōu)?,跳變?yōu)?),TG2、TG3又截止,TG1、TG4又導通,主觸發(fā)器又開始接收D端新數(shù)據(jù),從觸發(fā)器維持已轉(zhuǎn)換后的狀態(tài)。

可見,這種觸發(fā)器的動作特點是輸出端的狀態(tài)轉(zhuǎn)換發(fā)生在的上升沿,而且觸發(fā)器所保持的狀態(tài)僅僅取決于上升沿到達時的輸入狀態(tài)。正因為觸發(fā)器輸出端狀態(tài)的轉(zhuǎn)換發(fā)生在的上升沿(即CP的上升沿,所以這是一個CP上升沿觸發(fā)的邊沿觸發(fā)器,CP上升沿為有效觸發(fā)沿,或稱CP上升沿為有效沿(下降沿為無效沿)。若將四個傳輸門的控制信號CP'和極性都換成相反的狀態(tài),則CP下降沿為有效沿,而上升沿為無效沿。

3.D觸發(fā)器的電路

D觸發(fā)器的最高時鐘頻率受到以下兩個方面的限制:

(1)輸出(Q或Q~)波形上升和下降時間的限制。如果輸出的外接負載電容較大,則輸出的波形受到負載電容的影響,都具有一定的上升和下降時間。隨著時鐘頻率的提高,輸出頻率也要隨之提高。如果輸出波形由方波變?yōu)槿遣ǎ踔凛敵龇认陆?,就不能滿足二分頻輸出。

(2)內(nèi)部信號傳輸時,所產(chǎn)生的內(nèi)部級延遲的限制。如果時鐘脈沖寬度不能滿足內(nèi)部級的時延,則輸出Q就不能成為時鐘脈沖的二分頻或輸出不穩(wěn)定。

為了要設計D型觸發(fā)器,首先要對觸發(fā)器內(nèi)部進行時序分析,然后分析各級門在電路中所處的地位,進行合理的時延分配。作為主觸發(fā)器,數(shù)據(jù)從D端輸入,必須在時鐘脈沖的后半周內(nèi)完成數(shù)據(jù)的傳輸,并保存在住觸發(fā)器中。

我們通過控制柵氧化層厚度來控制柵電容。用MOS器件的跨導和輸出電容的比值(稱之為速度優(yōu)值)來表征COMS倒相器的速度性能。當增大CMOS倒相器的寬長比時,就增大了跨導,能提高優(yōu)值;但寬長比的增大,本級的輸出電容也隨之增大,反而降低了優(yōu)值。因而,計算一個合理的寬長比,使跨導大,電容小,具有最佳的速度優(yōu)值。為了使CMOS倒相器獲得最佳的性能,采用對稱設計,使倒相器中的NMOS管和PMOS管性能完全對稱。

新型的主從型D觸發(fā)器的電路圖如圖2所示。在時鐘CP周期為60ns,幅度U=5v的方波信號時所仿真到的工作波形如圖3所示,Q在CP上升沿翻轉(zhuǎn),在下降沿不發(fā)生翻轉(zhuǎn),保持原狀態(tài)不變,實現(xiàn)二分頻,該觸發(fā)器的最高頻率為356MHz,達到D觸發(fā)器的設計要求[6]:對應于每一CP信號有效沿(上升沿),輸出狀態(tài)翻轉(zhuǎn)一次,計數(shù)工作正常。

4.版圖設計

集成電路版圖是電路系統(tǒng)與集成電路工藝之間的中間環(huán)節(jié),是一個必不可少的重要環(huán)節(jié)[7],版圖的好壞直接影響電路生產(chǎn)的成品率及可靠性。好的設計不但本身很少帶來不可靠因素,而且對于工藝上難以避免的問題,也可預防或減弱其影響。通過集成電路版圖設計,可以將立體的電路系統(tǒng)變?yōu)橐粋€二維的平面版圖,再經(jīng)過工藝加工還原為基于硅材料的立體結(jié)構(gòu)[7]。

本文采用華潤上華0.6μmN阱CMOS工藝在Cadence平臺上設計D觸發(fā)器構(gòu)成的二分頻器的版圖[9],如圖4所示,由N Well圖層、Active圖層、N Select圖層、P Select圖層、Poly圖層、Metal 1圖層、Active Contact圖層等構(gòu)成,其芯片面積為46.500×40.350(μm)。

5.小結(jié)

論文中所設計的一款新型半靜態(tài)帶清零的D觸發(fā)器芯片通過理論分析[10]與計算機模擬表明了新型D觸發(fā)器與以往單鎖存器D觸發(fā)器結(jié)構(gòu)相比具有以下特點:1)省去了傳統(tǒng)設計中的時鐘鏈,減少了時鐘網(wǎng)絡的功耗及時鐘信號的延遲;2)使用的晶體管數(shù)少,只為傳統(tǒng)設計的1/2,有效地減少芯片地占用面積;3)采用了動態(tài)鎖存器結(jié)構(gòu),使之獲得更低的功耗及占用更小的芯片面積;4)降低功耗效果顯著。

由于在深亞微米情況下,存在較大的漏電流或亞閾值電流[7],因此半靜態(tài)觸發(fā)器的應用會在某些場合受到一定的限制。

參考文獻

[1]李桂宏,謝世健.集成電路設計寶典[M].北京:電子工業(yè)出版社,2006.

[2]楊志忠,衛(wèi)樺林,郭順華.數(shù)字電子技術(shù)基礎(chǔ)[M]第2版.北京:高等教育出版社,2009.

[3]王倫耀,吳訓威,葉錫恩.新型半靜態(tài)低功耗D觸發(fā)器設計[J].電路與系統(tǒng)學報,2004,9(6):26-28.

[4]張著,程震先,劉繼華.數(shù)字設計-電路與系統(tǒng)[M].北京:北京理工大學出版社,1992.

[5]Willy M.C.Sansen.模擬集成電路設計精粹[M].北京清華大學出版社,2008.

[6]王接枝,熊熙烈,呂巋,et al.CMOS觸發(fā)器在CP邊沿的工作特性研究[J].電子技術(shù)應用,2007,4.

[7]渡邊誠,淺田邦博,可兒賢二,et al.超大規(guī)模集成電路設計(I)-電路與版圖設計[M].北京:北京科學出版社,1988.

[8]A.Shams,M.Bayouml.A novel high-performance CMOS 1-Bit full-adder cell[J].IEEE Tram.Circuits Syst.-Part II,2000,47(5):478-481

[9]王翠霞,范學峰,et al.Candence版圖設計環(huán)境的建立及設計規(guī)則的驗證[J].北京:北京科學出版社,2004.

[10]K.Kurokawa.Power Waves and Scattering Matrix[J].IEEE Trans.MicrowaveTheory and Tech,1965:194-202.

篇(5)

中國半導體行業(yè)協(xié)會理事長、中芯國際董事長江上舟同志因病于2011年6月27日不幸逝世,享年64歲。

江上舟同志是上海芯片產(chǎn)業(yè)的奠基人、國家大飛機項目的啟動者之一,推動了包括大飛機和半導體在內(nèi)的多個重大科技項目。江上舟同志曾在海南省、上海市政府部門擔任重要領(lǐng)導職務。

江上舟同志一生為推動我國半導體產(chǎn)業(yè)的發(fā)展作出了突出貢獻,他的逝世是中國半導體業(yè)界的重大損失,也是國家科技界的重大損失。(本刊編輯:黃友庚)

全國半導體封裝測試研討會

在煙臺舉行

第九屆中國半導體封裝測試技術(shù)與市場研討會日前在山東省煙臺市開發(fā)區(qū)召開。此次會議聚集了400多位國內(nèi)外各大半導體企業(yè)、科研院所、高校的專家學者,就如何促進我國半導體封測業(yè)更快更好發(fā)展進行了深入研討與交流。

會議重點介紹了我國半導體封裝測試產(chǎn)業(yè)調(diào)研、3D封裝技術(shù)、TSV技術(shù)、綠色封裝技術(shù)、封裝可靠性與測試技術(shù)、表面組裝與高密度互連技術(shù)、封裝基板制造技術(shù)、先進封裝設備、封裝材料等及其市場走向與應對措施。這是我國半導體封裝測試業(yè)界的重要盛會,也是半導體產(chǎn)業(yè)鏈之間的一個極具意義的交流平臺。

2010年,我國集成電路產(chǎn)業(yè)在2009年緩慢復蘇的基礎(chǔ)上,呈現(xiàn)出強勁的增長勢頭。隨著芯片集成度的極大提高,高端封裝產(chǎn)品的技術(shù)含量日重,封裝測試的成本在集成電路成本中所占比重加大,并且受集成電路價格波動的影響較小。中國半導體行業(yè)協(xié)會畢克允副理事長介紹,面對這一形勢,有必要提高對發(fā)展半導體封裝測試業(yè)的認識,充分發(fā)揮我國的成本優(yōu)勢,加強對封裝測試業(yè)的研發(fā)支持,提高創(chuàng)新能力鼓勵資源整合,擴大國際合作,在我國培育出全球性半導體封測大公司。(來自中半?yún)f(xié)封裝分會)

2011中國通信集成電路技術(shù)

與應用研討會9月蘇州召開

為進一步推進集成電路技術(shù)的進步,促進通信、集成電路與物聯(lián)網(wǎng)產(chǎn)業(yè)的融合發(fā)展,中國通信學會通信專用集成電路委員會、中國電子學會通信學分會定于2011年9月22-23日在蘇州舉辦“2011中國通信集成電路技術(shù)與應用研討會暨物聯(lián)網(wǎng)應用論壇”。

本次會議以“創(chuàng)新應用與融合發(fā)展”為主題,圍繞通信集成電路技術(shù)與物聯(lián)網(wǎng)應用,重點研討集成電路的技術(shù)發(fā)展,以及物聯(lián)網(wǎng)應用對通信產(chǎn)業(yè)、集成電路產(chǎn)業(yè)帶來的機遇。會議期間將舉辦產(chǎn)品應用展示,集中展示集成電路設計技術(shù)以及在通信、物聯(lián)網(wǎng)等領(lǐng)域的應用。

自2003年起,“中國通信集成電路技術(shù)研討會”被確定為每年一屆的行業(yè)例會,曾先后在昆明、杭州、成都、大連、西安、蘇州、上海、武漢等地成功舉辦,并贏得了與會者的廣泛認同,已經(jīng)成為集成電路行業(yè)和通信行業(yè)非常關(guān)注的一項重要技術(shù)活動,依托中國電子學會和中國通信學會這兩大資源平臺,使該活動匯集了國內(nèi)外主要的集成電路企業(yè)和通信廠商,形成了產(chǎn)業(yè)間技術(shù)與設計應用的互動交流平臺。(本刊編輯:黃友庚)

2011中國半導體行業(yè)協(xié)會

集成電路設計分會年會

(ICCAD 2011)11月西安召開

為了發(fā)揮西安深厚的科研優(yōu)勢,充分展示東西部產(chǎn)業(yè)資源的各自優(yōu)勢,培育核心技術(shù),推動集成電路產(chǎn)業(yè),尤其是設計業(yè)做強做大,實現(xiàn)下一個十年跨越式發(fā)展,中國半導體行業(yè)協(xié)會定于2011年11月17日-18日在西安舉辦“2011中國半導體行業(yè)協(xié)會集成電路設計分會年會暨中國集成電路設計產(chǎn)業(yè)十年成就展”。

本次年會以“優(yōu)化產(chǎn)業(yè)發(fā)展環(huán)境,提升核心競爭力,實現(xiàn)規(guī)?;焖侔l(fā)展”為主題,積極探討集成電路設計產(chǎn)業(yè)的機遇和挑戰(zhàn),推動產(chǎn)業(yè)鏈的互動,促進我國集成電路設計產(chǎn)業(yè)持續(xù)、快速、健康地發(fā)展。大會將為集成電路產(chǎn)業(yè)鏈各個環(huán)節(jié)的企業(yè)營造一個交流與合作的良好平臺,為世界各地和港、澳、臺的同行以及相關(guān)行業(yè)協(xié)會、中介組織等構(gòu)筑一個與中國集成電路設計企業(yè)在技術(shù)、市場、應用、投資等領(lǐng)域互換信息、探討合作的交流平臺。同時,大會對于幫助本土產(chǎn)業(yè)構(gòu)建高端交流平臺和企業(yè)合作機遇具有舉足輕重的意義,必將對促進產(chǎn)業(yè)整合,提升核心競爭力,實現(xiàn)產(chǎn)業(yè)規(guī)?;焖侔l(fā)展產(chǎn)生深遠的影響。(來自中半?yún)f(xié)設計分會)

甘肅集成電路產(chǎn)業(yè)目標鎖定:

“十二五”末超過120億塊

甘肅集成電路產(chǎn)業(yè)發(fā)展已駛?cè)搿翱燔嚨馈保杭呻娐吩骷庋b產(chǎn)業(yè)規(guī)模將在“十二五”末力爭達到120億塊以上,實現(xiàn)主營業(yè)務收入45億元,年均增速在30%以上。

據(jù)甘肅省工業(yè)和信息化委員會透露,在國家和地方一系列政策支持下,甘肅集成電路產(chǎn)業(yè)近幾年規(guī)模不斷擴大?!笆晃濉逼陂g,產(chǎn)業(yè)規(guī)模年均增長40.2%,主營業(yè)務收入年均增長32.2%,利潤總額年均增長27.6%。2010年,甘肅集成電路產(chǎn)業(yè)完成工業(yè)總產(chǎn)值18.12億元,同比增長43%;實現(xiàn)工業(yè)銷售產(chǎn)值17.46億元,同比增長51%。在集成電路生產(chǎn)企業(yè)中,“領(lǐng)頭羊”華天電子集團年封裝能力由10年前的800萬塊增加到目前的50億塊以上,躋身國內(nèi)同行業(yè)內(nèi)資企業(yè)前三位。

同時,甘肅集成電路產(chǎn)業(yè)創(chuàng)新能力亦水漲船高。近年來,先后完成數(shù)百項重大技改、重點工程項目及重點新產(chǎn)品,為近百項國家重點工程提供了大量可靠的集成電路產(chǎn)品,70多個系列和產(chǎn)品獲得國家重大科技成果、科技進步等獎項,建成1個國家級企業(yè)技術(shù)中心和2個省級研發(fā)中心,研發(fā)人員占到從業(yè)人員總數(shù)的近23%。

據(jù)介紹,針對產(chǎn)業(yè)總量偏少、競爭能力較弱、缺乏區(qū)位優(yōu)勢等突出問題,甘肅將在“十二五”期間力爭實現(xiàn)集成電路產(chǎn)業(yè)主導產(chǎn)品由單一向多元的結(jié)構(gòu)轉(zhuǎn)變,實現(xiàn)技術(shù)水平由中低端向高端轉(zhuǎn)變,建成以天水、蘭州、平?jīng)鰹楹诵牡奈㈦娮印㈦娬婵掌骷?、軍工電子?大科研生產(chǎn)基地,培育出2-3個效益突出、收入過10億元、具有核心競爭力的龍頭企業(yè)。(來自新浪網(wǎng))

2011年全球半導體資本

設備支出將達448億美元

據(jù)技術(shù)研究和咨詢公司Gartner預測,2011年全球半導體資本設備支出將達到448億美元,與2010年406億美元的支出相比,增長10.2%。然而,Gartner分析師也指出,半導體庫存出現(xiàn)過剩修正,再加上晶圓設備制造供過于求,將導致2012年半導體資本設備支出略有下滑。

Gartner執(zhí)行副總裁Klaus Rinnen表示:“盡管日本的災難性地震威脅將破壞電子產(chǎn)品供應鏈,但自我們在2011年第一季度的預測以來,資本支出和設備格局變化不大。由于日本廠商艱巨的努力,此次地震的影響已降低到最小程度?!保▉碜园雽w行業(yè)網(wǎng))

英飛凌創(chuàng)新電源管理產(chǎn)品

亮相PCIM Asia 2011

作為全球領(lǐng)先的功率半導體供應商,英飛凌在上海召開的2011 PCIM亞洲展覽會(2011年6月21日至23日)上展示了最新的IGBT技術(shù)、CoolMOSTM CFD系列、高端功率二極管、晶閘管產(chǎn)品及各種為新能源功率變換準備的功率組件等。

英飛凌的逆導型(RC)600V IGBT家族又添兩名新成員。這兩款新的功率開關(guān)器件可在目標應用中實現(xiàn)最高達96%的能效。利用這些全新推出的RC-D快速IGBT,可以設計出更高能效的電機驅(qū)動家用電器,它們使用尺寸更小的元件,因此成本比同類系統(tǒng)更低。

英飛凌最新推出市場領(lǐng)先的集成快速體二極管的650V CoolMOS CFD2產(chǎn)品,可將諸如服務器、太陽能設備、電信機房開關(guān)電源和照明裝置等設備的能效提升至新的高度。

英飛凌新推出的60V至150V CanPAK,進一步完善了其OptiMOS功率MOSFET產(chǎn)品陣容。同時,英飛凌進一步擴充了第二代碳化硅肖特基二極管,推出了采用新的TO-247HC(長爬電距離)封裝的1200V碳化硅二極管。

英飛凌中國工業(yè)及多元化電子市場部高級經(jīng)理馬國偉先生表示:“英飛凌的節(jié)能產(chǎn)品,可以更好地降低成本,全面滿足客戶不斷提高能效和功率密度的需求,同時為我們的客戶帶來明顯的競爭優(yōu)勢?!保ū究庉嫞汉?)

國民技術(shù):雙界面

IC卡芯片實現(xiàn)三大創(chuàng)新

由國民技術(shù)推出的高安全性雙界面IC卡芯片Z8HCR的成功研發(fā)并產(chǎn)業(yè)化,Z8HCR的誕生將填補我國商用密碼產(chǎn)品在非接觸CPU卡上的空白,打破了外國對此技術(shù)的限制,為我國民族產(chǎn)業(yè)的發(fā)展作出了貢獻。

據(jù)國民技術(shù)相關(guān)人士介紹,Z8HCR實現(xiàn)了三大創(chuàng)新,雙界面是該芯片的一個創(chuàng)新點,目前國內(nèi)還未有帶非接觸式接口與接觸式接口的同類產(chǎn)品,如何控制在不同模式下的電源問題,是該項目能夠成功實施的關(guān)鍵。另外,高安全性是該芯片的另一個創(chuàng)新點。國產(chǎn)算法的引入,不僅提高了芯片本身的安全性,也為該領(lǐng)域的國產(chǎn)化提供了技術(shù)保證。此外,高性能是該芯片重要指標,此芯片立足于達到國外芯片的性能要求,且部分超越國外芯片,可支持多應用。

Z8HCR可廣泛應用于電子政務、電子商務、電子防偽等多個領(lǐng)域。(來自半導體行業(yè)網(wǎng))

展訊新品 2G成重心

展訊近日了三款極具性價比的GSM芯片,包括面向終端采用ARM9內(nèi)核的SC6800H,以及兩款面向低端市場的SC6610和SC6620。

如何普及移動互聯(lián)網(wǎng),就是要降低成本,使每個用戶都能消費得起,其次是要提高性能。展訊市場副總裁康一博士表示,“對于低端產(chǎn)品而言,其核心競爭力是降低成本。我們把一些用于高端手機的手段用于低端手機?!?/p>

在康一看來,如果將iPhone看作“奔馳”、“寶馬”,那么奔馳寶馬是很難讓移動互聯(lián)網(wǎng)得到普及。

展訊內(nèi)部人士表示,全球很多不發(fā)達的地區(qū),有很多人現(xiàn)在用的手機非常簡單,甚至還有用戶根本沒用過手機,跟移動互聯(lián)網(wǎng)的發(fā)展“搭不上邊”,“6610和6620的尺寸很小,性價比比較高,可滿足國內(nèi)及海外新興市場低端手機市場需求?!?/p>

據(jù)悉,展訊SC6610和SC6620將多媒體加速器、觸摸屏背光、射頻接口都集成到了芯片上,使得產(chǎn)品在成本上更具優(yōu)勢,而連接的簡便也將大大縮短終端設計時間。(來自半導體行業(yè)網(wǎng))

同方微電子成功開發(fā)

出雙界面銀行IC卡產(chǎn)品

上海華虹NEC電子有限公司日前宣布,北京同方微電子有限公司(以下簡稱“同方微電子”)基于公司成熟的0.13微米嵌入式存儲器工藝,成功地開發(fā)出了高安全性和高可靠性的雙界面銀行IC卡產(chǎn)品。該產(chǎn)品將有力地配合并推進國家“十二五”期間金融IC卡的遷移和應用,促進國內(nèi)銀行IC卡的產(chǎn)業(yè)升級和可持續(xù)發(fā)展。

為了配合國家“十二五”期間金融IC卡推廣工作的順利進行,2010年上半年華虹NEC啟動了針對雙界面銀行IC卡產(chǎn)品的工藝開發(fā)與IP配套升級,歷時半年時間,于2011年初完成了工藝的硅驗證工作,工藝特性完全滿足銀行IC卡極其嚴格的高安全性和高可靠性的設計要求。同方微電子作為國內(nèi)銀行IC卡的主要參與設計廠商,基于華虹NEC升級改造后的0.13微米嵌入式存儲器工藝,采用創(chuàng)新的設計理念,在短短半年內(nèi)就完成了全新的雙界面銀行IC卡的設計、流片和驗證工作。目前,該產(chǎn)品采用華虹NEC高可靠性的EEPROM IP,已經(jīng)完成了全面的功能評價,實測性能達到了同行業(yè)先進水平。(來自華虹NEC)

中國微電子推出革命性

和諧統(tǒng)調(diào)處理器技術(shù)

中國微電子科技集團有限公司日前公布推出一項革命性手持移動終端的嶄新突破性技術(shù),和諧統(tǒng)調(diào)處理器(Harmony Unified Processor)技術(shù),主要針對中國流動裝置市場。

和諧統(tǒng)調(diào)處理器技術(shù)把兩種不同類型的處理器,中央處理器(CPU)和圖像處理器(GPU)統(tǒng)一在一個核芯內(nèi),同時結(jié)合了多線程虛擬管線(MVP)、平行運算內(nèi)核、獨立的指令集架構(gòu)、優(yōu)化的編譯器、以及靈活切換的動態(tài)負載均衡等新技術(shù);這嶄新科技將會是半導體行業(yè)發(fā)展中的里程碑,也為移動計算和移動通訊領(lǐng)域帶來更具成本效益及低功耗等優(yōu)點的新產(chǎn)品。

具備和諧統(tǒng)調(diào)處理器技術(shù)的硅片現(xiàn)已完成生產(chǎn),并進入封裝測試階段,而系統(tǒng)單芯片制成品主要針對正蓬勃發(fā)展的Android平板計算機市場,預期于本年底前開始量產(chǎn)。(來自半導體行業(yè)網(wǎng))

燦芯半導體第一顆40nm芯片驗證成功

燦芯半導體(上海)有限公司與中芯國際集成電路制造有限公司共同宣布燦芯半導體第一顆40nm芯片在中芯國際一次性流片驗證成功。

燦芯半導體與新思科技有限公司(Synopsys,Inc.,)及中芯國際深度合作,使燦芯自主研發(fā)的40nm芯片一次性流片成功。這顆芯片集成了Synopsys Design Ware嵌入式存儲器和邏輯庫,以及中芯國際自主研發(fā)的PLL、I/O等關(guān)鍵IP部件,成功驗證了燦芯半導體在40nm工藝線上的前端和后端設計流程。(來自燦芯半導體)

飛思卡爾32位MCU出新品

飛思卡爾半導體近日推出新的32位Qorivva微控制器(MCU),該產(chǎn)品基于Power Architecture技術(shù),目的是使過去只有在豪華汽車中才能見到的環(huán)繞攝像泊車輔助系統(tǒng)變得更加經(jīng)濟適用并普及到更廣泛的車型中。Qorivva MPC5604E 32位MCU通過快速以太網(wǎng)傳輸高分辨率的壓縮視頻數(shù)據(jù),可以提供360度車周全景,從而實現(xiàn)更加安全、簡便地泊車。(來自飛思卡爾)

Marvell推出超低功耗40nm

四端口10GBASE-T PHY芯片

美滿電子科技(Marvell)近日宣布推出88X3140和88X3120 Alaska? X PHY芯片,可為交換機、服務器和存儲客戶帶來突破性的優(yōu)勢。

四端口的88X3140和雙端口的88X3120在銅質(zhì)雙絞線上實現(xiàn)了10Gb以太網(wǎng)連接。其顯著的優(yōu)勢包括低延遲、低運行功耗、高抗干擾度,以及支持節(jié)能以太網(wǎng)標準等先進的電源管理特性。它在100米距離時單個端口功耗為2.5瓦,是高密度應用的理想產(chǎn)品。此外,Marvell已經(jīng)基于Marvell? Prestera?-CX交換機芯片開發(fā)出了參考設計,在1RU機架配置下支持多達48個10GBASE-T端口。(來自Marvell)

NXP推出市場就緒型

NFC“智能”汽車鑰匙解決方案

“智能”汽車鑰匙市場的先驅(qū)――恩智浦半導體NXP Semiconductors N.V.近日宣布推出針對多功能汽車鑰匙的生產(chǎn)就緒單芯片解決方案――NCF2970(KEyLink Lite)。通過引入近距離無線通訊(NFC) 技術(shù)增強汽車鑰匙的功能,恩智浦的KEyLink Lite解決方案可以與配備了NFC功能的手機、平板電腦、筆記本電腦等外部設備互連,幫助汽車制造商營造全新的駕馭體驗。 (來自NXP)

TI基于C28x

和Cortex-M3的雙核MCU問市

近日,德州儀器(TI)宣布推出新型C2000 Concerto雙核微控制器(MCU)系列,可幫助開發(fā)人員設計出環(huán)保性能與連接能力更佳的應用。這種新型Concerto 32位微控制器將TI的具有同類領(lǐng)先性能的C28x內(nèi)核及控制外設與ARM Cortex-M3內(nèi)核及連接外設組合起來,以提供一種分區(qū)明確的架構(gòu),可在單個具有成本效益的器件中支持實時控制和高級連接。(來自TI)

賽普拉斯FIFO存儲器即將投產(chǎn)

賽普拉斯半導體公司近日宣布推出一款容量高達72 Mbit的先進先出 (FIFO)存儲器。該款全新的高容量(HD) FIFO 是視頻及成像應用的理想選擇,可滿足高效緩沖所需的高容量和高頻率要求。與大型PFGA結(jié)合使用時,HD FIFO可作為標準同步DRAM存儲器的高級緩沖備選方案。新型HD FIFO可提供18、36以及72Mbit的容量版本,能夠支持3.3V和1.8V LVCMOS及HSTL1等眾多I/O標準。(來自賽普拉斯)

飛思卡爾半導體

50Gbits/s通信芯片

飛思卡爾半導體日前展示了一款名為QorIQAdvancedMultiprocessing(AMP)的網(wǎng)絡芯片產(chǎn)品,主要面向大流量網(wǎng)絡通信市場。這款芯片基于一個64位的 Powere65002.5GHzAltivec處理器核心開發(fā),采用28nm制程,擁有24個虛擬核心以用來處理交換和路由業(yè)務。

同時,面對較為低端的客戶,飛思卡爾還有12核1.6GHz和24核2.0GHz的產(chǎn)品可供選擇。首款使用該芯片的設備是飛思卡爾的T4240,它已經(jīng)可以實現(xiàn)50Gbits/s的吞吐量。(來自飛思卡爾)

Microchip擴展RF功率放大器產(chǎn)品線

美國微芯科技公司宣布,推出新款SST12LP17E和SST12LP18E器件,擴展其RF功率放大器產(chǎn)品線。SST12LP17E是同類產(chǎn)品中體積最小但能完全匹配的功率放大器,只需要一個DC旁路電容即可實現(xiàn)最優(yōu)性能。SST12LP18E的工作電壓是Microchip全線RF功率放大器中最低的,并可在-20℃至+85℃條件下工作。新器件可工作于2.7V的低電壓,線性輸出功率高達18.5dBm為2.5%EVM于IEEE802.11gOFDM54Mbps標準下,輸出23.5dBm時附加功率效率高達38%于IEEE802.11b標準下。這些功率放大器采用8引腳2mmx2mmx45mmQFN封裝。它們是小尺寸、高效率和低電池電壓工作的嵌入式WLAN應用的理想選擇,如消費電子市場、手機、游戲機、打印機和平板電腦。(來自Microchip)

IMEC利用CMOS工藝制程

GaN MISHEMTs

歐洲微電子研究中心(Interuniversity Microelectronics Centre。即IMEC)與其合作伙伴共同開發(fā)了在200毫米硅片上生長GaN/AlGaN的技術(shù)。

借助這項新技術(shù),GaNMISHEMTs(metal-insulator semiconductor high-electron mobility transistors)能夠嚴格按照CMOS的污染控制要求在工藝線上進行生產(chǎn)(不再需要加入金這種貴金屬),進而能夠在200mm硅襯底上大批量生產(chǎn)高質(zhì)量的氮化鎵產(chǎn)品。(來自半導體行業(yè)網(wǎng))

瑞薩開發(fā)出不需要電池的

無線通信技術(shù)

瑞薩電子日前正式宣布開發(fā)出一種新的近距離無線通訊技術(shù):傳感器不需電池即可通過藍牙或無線局域網(wǎng)將數(shù)據(jù)發(fā)送出去。

此技術(shù)的重點有兩個:首先是利用發(fā)信端和收信端之間電磁波信噪比的改變來讀取傳感器傳送數(shù)據(jù)的近距離無線技術(shù)。另外就是自動探測環(huán)境中能量較強的電磁波(手機信號或WiFi/WLAN信號等)并轉(zhuǎn)換成電能的微型發(fā)電技術(shù)。

這兩者的結(jié)合,使得小于1米的通信距離內(nèi)傳感器發(fā)送數(shù)據(jù)不需依靠電池得以實現(xiàn)。這種新的無線通訊技術(shù)以后可能應用的例子有:使用電子計算器計算得出結(jié)果后靠近PC直接發(fā)送結(jié)果到PC中;在創(chuàng)可貼上加入溫度傳感器,用智能手機實時監(jiān)控體溫數(shù)據(jù)等。(來自CSIA)

AMD推出低功耗計算

和圖像處理混合芯片

AMD日前正式推出了其低功耗旗艦產(chǎn)品-Fusion處理器。Fusion芯片融合了x86架構(gòu)的計算處理器和圖像處理器,成為AMD和INTEL、ARM等競爭移動應用市場的“殺手锏”。不過,AMD資深研究人員PhilRogers暗示,F(xiàn)usion芯片的架構(gòu)設計并不是封閉、排外的,F(xiàn)usion的系統(tǒng)架構(gòu)設計在未來可以融合其它架構(gòu)的計算處理器和圖像處理器組成一個“異質(zhì)”的多核平臺。AMD將對外公布有關(guān)的技術(shù)文檔,使Fusion成為一個開放的軟硬件開發(fā)平臺。(來自CSIA)

意法半導體(ST)通過CMP

為業(yè)界提供28納米CMOS制程

意法半導體與CMP(Circuits Multi Projets?)攜手宣布,大專院校、研究實驗室及企業(yè)可通過CMP提供的芯片中介服務使用意法半導體的28 nm CMOS制程開發(fā)芯片設計。

雙方在上一代CMOS合作項目的成功促使了這次推出的28nm CMOS制程服務。雙方于2008年、2006年、2004年及2003年分別推出45nm、65nm、90nm及130nm制程服務。此外,CMP還提供意法半導體的65nm和130nm SOI以及130nm SiGe制造制程服務。舉例來說,170所大專院校和企業(yè)已可使用意法半導體的90nm CMOS制程設計規(guī)則和設計工具,200余所大專院校和企業(yè)(60%為歐洲客戶;40%為美洲和亞洲客戶)已可使用65nm bulk和 SOI CMOS制程設計規(guī)則和設計工具。目前,45/40納米CMOS制程服務仍在開發(fā)階段。(來自意法半導體)

新岸線NuSmart 2816移動處理器

新岸線公司最近了一款NuSmart2816處理器。該產(chǎn)品擁有強大性能的移動終端設備處理器,采用了先進的Coretex-A9構(gòu)架,性能卓越,且價格合理。新岸線市場行銷副總裁楊宇新先生告訴媒體:“目前已經(jīng)有品牌選用了NuSmart2816作為平板電腦的核心,第一款產(chǎn)品將在今年10月份左右上市?!辈⑶?,新岸線的下一代產(chǎn)品,功耗更低的Coretex-A9構(gòu)架處理器已經(jīng)準備好了,代號為NuSmart2810,屆時這款處理器將把A9雙核處理器的成本拉的更低一些。并且,新岸線的4核AMR構(gòu)架的產(chǎn)品也在積極研發(fā)中,預計8-12個月后將投入生產(chǎn)。(來自CSIA)

思百吉成功舉辦2011中國客戶答謝會

思百吉(Spectris)集團(美國邁思肯的母公司)在上海成功舉辦了“攜手未來,2011共創(chuàng)輝煌”客戶答謝會和記者招待會。百余名主要客戶代表和二十多家重要媒體參加了此次活動, 思百吉集團在活動中分享了其在中國這個主要市場上的發(fā)展狀況。

在記者招待會上,思百吉集團首席執(zhí)行官John OHiggins先生和大家分享了思百吉集團在中國市場的業(yè)務增長及其對技術(shù)和產(chǎn)品的未來規(guī)劃。

John OHiggins先生表示:在全球經(jīng)濟不景氣的情況下,他們在中國市場的銷售額卻仍不斷增長。過去兩年中,共創(chuàng)造了2億多英鎊的銷售額。如今,中國已經(jīng)成為其全球第二大市場,繼全國的各主要城市后,思百吉也開始進軍西部地區(qū)與二線城市。

雖然進入中國已有40年,思百吉這個名字對于許多人而言都是一個陌生的名字。隨著時間的推移,這家制造高精儀器儀表與控制設備的國際巨鱷,其旗下的13家子公司都在悄然之中悉數(shù)進駐了中國市場,其業(yè)務范圍已經(jīng)逐漸滲透了我國鋼鐵、汽車、能源等工業(yè)領(lǐng)域。

John OHiggins先生總結(jié)說:“我們在中國有強大的客戶基礎(chǔ)和良好的發(fā)展機遇,未來我們將繼續(xù)向中國市場投資,致力于產(chǎn)品的本地化,全面完善中國地區(qū)的服務和支持體系,尋求有技術(shù)特點的公司作為合作伙伴,不斷研發(fā)新產(chǎn)品,以滿足客戶的需求。”(本刊編輯:黃友庚)

Marvell業(yè)界首款TD單芯片

方案率先在華商用

全球整合式芯片解決方案廠商美滿電子科技(Marvell)日前宣布,成功推出Marvell單芯片在TD智能手機、平板電腦和無線路由器的應用。

Marvell公司業(yè)界領(lǐng)先的TD-SCDMA方案可以提供世界級的3D圖像、手機游戲、移動電視、高清視頻性能,并且通過Marvell美觀易用的Kinoma軟件,為不同平臺提供統(tǒng)一的用戶體驗。同時,PXA920系列產(chǎn)品是業(yè)界首款TD-SCDMA單芯片方案,融合了高性能應用處理器和調(diào)制解調(diào)器,讓大眾期待已久的1000元智能手機成為現(xiàn)實。這一平臺同時支持全球的3G和2G標準,讓OEM廠商可以為中國及中國以外的市場快速開發(fā)WCDMA智能手機、平板電腦和無線路由器。

Marvell完整的手機平臺解決方案包括單芯片通信處理器和應用處理器、射頻模塊、電源管理芯片以及集成有Wi-Fi/藍牙/FM調(diào)頻功能的連接單芯片,該單芯片支持1x1和2x2移動MIMO通信系統(tǒng)并具有波束成形(beamforming)功能。Marvell的TD-SCDMA芯片和軟件解決方案由上海的研發(fā)中心開發(fā),該中心有約1000名工程師專注于中國市場。(來自Marvell)

Intersil兩款新型穩(wěn)壓器,

用戶可對其編程

Intersil公司近日宣布,推出兩款微型電源管理芯片――ISL9305和ISL9305H,進一步擴大其針對消費電子市場的電源管理產(chǎn)品家族。ISL9305和ISL9305H這兩款芯片提供多通道電源輸出需求并支持靈活的I2C接口編程,非常適合當下消費電子的設計需求。ISL9305和ISL9305H采用4x4mm封裝,包含兩個800mA(ISL9305)、1500mA(ISL9305H)同步開關(guān)降壓穩(wěn)壓器和兩個300mA低壓差(LDO)線性穩(wěn)壓器,這一集成減少了元件總數(shù)并降低了產(chǎn)品總成本。 (來自Intersil)

盛群雙向無線電

應用專用SOC MCU問市

盛群半導體推出HT98R068為雙向無線電應用專用SOC MCU。此IC主要是用于類似無線電對講機產(chǎn)品如FRS, MURS, GMRS等市場的含音訊處理的MCU。 在音訊處理功能方面, 包括pre-emphasis/de-emphasis、 壓擴、可編程擾頻設定、DTMF編解碼、可編程selective code編解碼及亞音頻的CTCSS/DCS編解碼。靈活的音訊處理路徑與并行的亞音頻信號處理可提供各種組合的操作模式。(來自盛群半導體)

ST-Ericsson創(chuàng)新組件

將電池壽命提升30%

意法?愛立信目前推出一個全新的產(chǎn)品系列,這一系列的產(chǎn)品可以顯著提升手機和其他連接設備的電池使用壽命。與直接由電池供電的解決方案相比,這個創(chuàng)新的電源管理組件可以將移動設備的通話時間或互聯(lián)網(wǎng)連接時間最高提升30%。基于意法?愛立信的開拓性PM3533集成解決方案,移動設備的雙模射頻子系統(tǒng)可以采用低截止電壓電池技術(shù),從而充分利用電池電源。(來自ST-Ericsson)

明導Capital工具覆蓋范圍

擴展至電氣設計領(lǐng)域之外

Mentor Graphics公司近日宣布旗下的Capital產(chǎn)品套裝有重大擴展。Capital套裝當前為汽車、航空和國防工業(yè)提供強大的電氣系統(tǒng)和線束設計流程,而現(xiàn)在又有三款新產(chǎn)品加入,可以同時將流程向上游(產(chǎn)品規(guī)劃和架構(gòu)設計)和下游(產(chǎn)品維修維護)擴展。隨著新型工具針對解決車型配置復雜性管理,線束制造,以及車輛維修維護文檔管理等多方面難題,Capital系列工具也將覆蓋范圍擴大到從產(chǎn)品定義架構(gòu)到維修服務。新工具采用了突破性技術(shù),對于OEM廠商、線束制造商和售后服務部門而言具有很高的商業(yè)價值。 (來自Mentor Graphics)

Atmel基于ARM9的MCU

可與Android系統(tǒng)兼容

微控制器及觸摸解決方案的供應商愛特梅爾公司(Atmel Corporation)宣布其基于ARM的產(chǎn)品SAM9G45和SAM9M10將支持Android操作系統(tǒng) ,應用于消費、工業(yè)和計算市場。愛特梅爾以32位ARM926處理器為基礎(chǔ)的SAM9G45和SAM9M10 ARM9器件現(xiàn)可兼容Android操作系統(tǒng),為運行Android操作系統(tǒng)的SAM9M10-G45-EK板提供完整的板級支持包(board support package, BSP)。 (來自Atmel)

SanDisk全新嵌入式

閃存驅(qū)動器iNAND EXTREME

SanDisk近日宣布推出全新iNAND Extreme嵌入式閃存驅(qū)動器(Embedded Flash Drive; EFD)系列;這是針對運行在高級操作系統(tǒng)下以及數(shù)據(jù)密集型應用的高端平板電腦所推出的首款系列產(chǎn)品,每秒連續(xù)寫入和讀取的速度分別達50MB與80MB。高性能的嵌入式閃存存儲設備,可大幅提高平板電腦的多媒體同步速度、轉(zhuǎn)文件速度,與操作系統(tǒng)的反應力。 (來自SanDisk)

S2C 正式其新產(chǎn)品

Verification Module

S2C近日宣布他們已經(jīng)開發(fā)了一種原型驗證產(chǎn)品,即TAI Verification Module(專利申請中)。它允許使用者通過一條x4 PCIe Gen2通道到連接FPGA原型中的用戶設計和用戶的電腦,使得用戶能夠使用大量數(shù)據(jù)和測試向量對FPGA原型中的用戶設計進行快速驗證?;贏ltera Stratix-4 GX FPGA的TAI Verification Module將Altera 的SignalTap Logic Analyzer集成到了S2C的TAI Player軟件中,它能支持在多個FPGA進行RTL 級別調(diào)試。這項創(chuàng)新的技術(shù)在設計編譯過程中建立了多組,每組480個probe,從而使用戶能在不需要進行冗長的FPGA重新編譯的情形下在多個FPGA中查看數(shù)以千計的RTL級probe。(來自S2C)

飛兆新增工業(yè)類型封裝的

PowerTrench MOSFET器件

對于需要提升系統(tǒng)效率并最大限度減少元件數(shù)目的高效AC-DC轉(zhuǎn)換器等應用的設計人員來說,構(gòu)建一個具備快速開關(guān)特性、更高效率和功率密度的現(xiàn)代電源系統(tǒng)是一項非常重要的指標。為了幫助設計人員應對這一挑戰(zhàn),飛兆半導體公司(Fairchild Semiconductor)為100V和150V PowerTrench MOSFET系列器件增添了工業(yè)類型封裝選擇,包括TO-220、D2PAK、TO247、I2PAK、TO220 Full Pack和D2PAK-7L。 (來自飛兆)

恩智浦推出集成LCD圖像

控制器的LPC1788微控制器

恩智浦半導體近日了LPC1788微控制器,這是業(yè)界首款采用ARM? CortexTM-M3技術(shù)且集成LCD控制器的MCU,目前已批量上市。LPC178x系列擁有最高96KB片上SRAM以及32位外接存儲器接口,幫助客戶輕松實現(xiàn)低成本、高質(zhì)量的圖像應用。LPC178x系列支持眾多圖像顯示面板,是工業(yè)自動化、銷售網(wǎng)點和醫(yī)療診斷應用的理想選擇。 (來自恩智浦)

MIPS 科技推動“Apps on MIPS”開發(fā)

美普思科技公司(MIPS)日前宣布推出全新 MIPS 應用程序開發(fā)(MAD:MIPS Application Development )計劃,旨在促進 MIPSTM架構(gòu)應用程序的快速發(fā)展。該計劃將提供性能和兼容性測試的技術(shù)支持與服務,以確保應用程序能夠在 MIPS-BasedTM 設備上運行。通過這項由 MIPS 開發(fā)人員社區(qū)所提出的最新計劃,開發(fā)人員能快速構(gòu)建與 MIPS-BasedTM 移動設備完全兼容的應用程序,為游戲和其它應用程序帶來理想的用戶體驗。

MAD 計劃初期將定位于 AndroidTM 平臺的 MIPS-Based 設備應用程序開發(fā)。MIPS 開發(fā)工程師團隊能夠提供兼容性和性能分析,并將結(jié)果反饋給應用程序開發(fā)人員。在 MIPS 開發(fā)人員社區(qū)網(wǎng)站 developer.省略 上可獲得完整的文件和技術(shù)支持。此外,開發(fā)人員還能夠充份利用 MAD 套件(MAD Kit) 開發(fā) Android 應用程序。MAD 套件包括由 Android 軟件開發(fā)套件(SDK)和 QEMU 仿真器組成的完整工具鏈,以及本機開發(fā)套件(NDK)(r5b Windows/Linux)。我們同時還會提供高級移動硬件平臺。(來自美普思科技)

奧地利微電子首款3D霍爾傳感器

AS5410可感應絕對位置

奧地利微電子公司近日推出全球首款基于全功能3D霍爾平臺的線性位置傳感器AS5410。獨特的3D霍爾傳感器解決方案可在汽車和工業(yè)應用中感應絕對位置,提供超高分辨率的位置信息。AS5410能在設備啟動后即刻檢測一個簡單兩級磁鐵的絕對位置,應用中無需預先運行參考定位。即便使用非常小的磁鐵,位置感測也可支持大范圍的機械運行距離。AS5410 3D霍爾編碼器可通過SPI接口預設四種基本操作模式,提供快速便捷的配置操作。所有信號調(diào)理,包括對溫度影響的補償?shù)染谄瑑?nèi)實現(xiàn)。(來自奧地利微電子)

微捷碼宣布推出支持GLOBALFOUNDRIES

低功耗技術(shù)的參考流程

微捷碼(Magma)設計自動化有限公司近日宣布,一款支持GLOBALFOUNDRIES 28納米超低功耗(SLP)高K金屬柵(HKMG)技術(shù)的netlist-to-GDSII參考流程正式面市。這款簽核就緒(sign-off-ready)的參考流程可與GLOBAL- FOUNDRIES的簽核驗證模塊相集成,且通過利用Talus IC實現(xiàn)系統(tǒng)獨特的Talus Flow Manager和Talus Visual VolcanoTM提供獨特的可視化功能,還使得雙方客戶能夠快速輕松地先輸入現(xiàn)有設計、然后以28納米SLP工藝對其性能進行分析并評估。不同于其它IC實現(xiàn)環(huán)境,Talus Flow Manager是隨著Talus Vortex一起加入的這款流程,從而去除了對額外工具的投資需求。(來自微捷碼)

ADI推出一款高性能

雷達模擬前端IC:AD8283

汽車安全理念一直在發(fā)展演變,現(xiàn)在已經(jīng)從座位安全帶、安全氣囊和碰撞檢測等被動系統(tǒng)發(fā)展到具有防撞和事故預防功能的主動檢測網(wǎng)絡。作為一項尤為令人振奮的主動安全改進措施,雷達可以顯著降低因分心而導致的行車事故數(shù)量及嚴重程度。Analog Devices, Inc.的集成式慣性 MEMS 檢測技術(shù)曾讓安全氣囊在15年前成為一項標準汽車安全特性,近日又推出一款價格低廉的高性能雷達 AFE(模擬前端)IC。ADI 公司高集成度的 AD8283汽車雷達 AFE(模擬前端)IC 包含接收路徑信號調(diào)理和數(shù)據(jù)采集電路,使終端系統(tǒng)可實現(xiàn)自適應巡航控制、盲點檢測以及其它基于雷達的檢測和預防應用。(來自ADI)

TI最新OMAP 4處理器

可將網(wǎng)頁瀏覽性能提升80%

德州儀器(TI) 近日宣布推出超節(jié)能OMAP4470應用處理器,該處理器屬于OMAP 4平臺系列,能夠使處理功耗、圖形、顯示子系統(tǒng)功能及多層用戶界面組合等方面的性能達到有效平衡。多內(nèi)核OMAP4470處理器的時鐘速度高達1.8 GHz,為目前市場上所有解決方案之冠,同時網(wǎng)絡瀏覽性能提升80%,內(nèi)存帶寬增加,圖形功能提高2.5倍(通過Imagination Technologies的POWERVRTM SGX544以及獨特的硬件組合引擎實現(xiàn))。(來自TI)

新唐NuMicro微控制器

NUC122 閃亮登場

新唐科技繼成功推出以ARM? CortexTM-M0為核心的32位微控制器 - NUC100/NUC120 和 NuMicro M051TM系列后,新成員NUC122系列于近日閃亮登場。NUC122系列以最低功耗、低閘數(shù)、精簡程序代碼,內(nèi)建USB及多種高速通訊能力器件等特性,使其執(zhí)行效能為一般微控制器的數(shù)倍。其先進低功耗工藝與內(nèi)建 USB 2.0全速裝置,特別適用于消費電子、工業(yè)控制、安防、通訊系統(tǒng),與需要高速計算的數(shù)據(jù)采集系統(tǒng)領(lǐng)域。 (來自新唐科技)

安森美五款超小型

低壓降線性穩(wěn)壓器出爐

安森美半導體(ON Semiconductor)近日推出五款超小封裝的低壓降(LDO)線性穩(wěn)壓器,強化用于智能手機及其他便攜電子應用的現(xiàn)有產(chǎn)品陣容。這些新器件基于互補金屬氧化物半導體(CMOS)技術(shù),均能提供150毫安的輸出電流。這五款新器件都非常適合于應用在電池供電的便攜設備(如MP3播放器、手機、手持GPS系統(tǒng)、照相機及錄像機)、家用電器(包括機頂盒及數(shù)字視頻錄像機)和網(wǎng)絡/通信設備(服務器及路由器),以及非常講究節(jié)省電能及空間的其他應用。 (來自安森美)

美國國家半導體

推出全新高亮度LED驅(qū)動器

美國國家半導體公司(National Semiconductor Corp.)近日宣布推出全新高集成度線性LED驅(qū)動器LM3466,可簡化街燈等大功率大型照明燈具的設計。僅需幾個無源組件,LM3466便能提供一個完整系統(tǒng),可實現(xiàn)在現(xiàn)有任一款的AC/DC恒流源基礎(chǔ)上驅(qū)動每個LED燈串?,F(xiàn)今的LED驅(qū)動器通常需要多個組件,才能正確地驅(qū)動一個LED燈串,而為了維持多個LED燈串間的電流相同,常會令設計變得更為復雜。通過集成MOSFET并采用獨特的控制方法,LM3466能夠解決上述問題。(來自美國國家半導體)

美商柏恩復合式扭矩和角度傳感器

美商柏恩(Bourns?)公司,近日推出一款全新復合式扭矩和角度感應器。該款新的傳感器是專為電子動力輔助控制應用系統(tǒng)(EPAS)和其它汽車系統(tǒng)所設計的,除了結(jié)合了扭矩和轉(zhuǎn)向角度測量外,還取代了以往使用兩個離散感應器進而節(jié)省空間和成本。新款復合式扭矩和角度感應器乃使用柏恩(Bourns?)' Hall Effect (HE) 的非時鐘簧線 (Non- clockspring) 扭矩傳感器技術(shù),藉由傳動器輸入功率來測量其扭矩轉(zhuǎn)向,并且同時轉(zhuǎn)換成控制方向盤轉(zhuǎn)動的速度和方向。新款復合式感應器中的扭矩感應器是專為EPAS設計的,其控制角度信號器可用于各種汽車系統(tǒng),包括電子穩(wěn)定控制(ESC),進階前照明系統(tǒng)(AFLS),導航和輔助停車系統(tǒng)。 (來自Bourns?)

MIPS和矽統(tǒng)科技持續(xù)推動

AndroidTM 進入數(shù)字家庭應用

日前,美普思科技公司(MIPS)攜手中國臺灣矽統(tǒng)科技公司共同宣布,雙方將共同推動 AndroidTM 平臺進入數(shù)字家庭應用,樹立新的里程碑。兩家公司合作推出以矽統(tǒng)科技新款 MIPS-BasedTM 集成網(wǎng)絡電視平臺為基礎(chǔ)的優(yōu)化 Android 解決方案,現(xiàn)已面市。同時,矽統(tǒng)科技獲得了全新超標量多處理 MIPS32TM 1074KfTM 同步多處理系統(tǒng)(CPS)授權(quán),用于開發(fā)下一代芯片產(chǎn)品。

矽統(tǒng)科技全新高集成度的網(wǎng)絡電視平臺采用雙內(nèi)核高性能 MIPS 處理器,可提供定制化 widget,并支持 YouTube、Facebook、eBay、Flickr、天氣和財經(jīng)以及在線電影租賃等廣受歡迎的服務。該平臺可支持高端圖像和增強的視頻處理,以及 Adobe? Flash? Player 10.1 與電視視頻流功能。該產(chǎn)品同時支持視頻點播和 Skype等網(wǎng)絡通信。并能與其他 Android 平板電腦和智能手機等設備進行無縫互操作和互聯(lián);提供遙控和視頻共享等功能。全新互聯(lián)網(wǎng)電視平臺現(xiàn)已能夠通過矽統(tǒng)科技獲得。(來自美普思科技)

三洋用于數(shù)碼錄音筆的

音頻處理器LC823425即將量產(chǎn)

三洋半導體(安森美半導體成員公司)推出用于數(shù)碼錄音筆(IC recorder)等便攜設備的音頻處理方案―LC823425。這產(chǎn)品包含內(nèi)置硬連線MP3編碼器/解碼器系統(tǒng),提供業(yè)界最低的功耗5毫瓦,以內(nèi)置數(shù)字信號處理器(DSP)支援先進功能。LD823425利用新開發(fā)的MP3文件格式硬件解碼器,將編碼期間的功耗相較于此前產(chǎn)品降低50%。這器件還利用低壓90納米工藝提供約5 mW的總功耗,達致業(yè)界最低的MP3錄音/播放功耗水平。(來自三洋半導體)

微捷碼宣布其

Titan Analog Design Kit正式面市

微捷碼(Magma)設計自動化有限公司近日宣布,支持臺積電(TSMC)180納米/65納米工藝的Titan Analog Design Kit正式面市,它以與工藝和規(guī)格無關(guān)且可重復利用的模塊化模擬電路模塊――Titan FlexCell實現(xiàn)了Titan基于模型的設計方法。這款工具包提供了一個模擬設計生態(tài)系統(tǒng),使得微捷碼和臺積電雙方客戶均可顯著改善設計質(zhì)量和設計師效率。Titan Analog Design Kit設計工具包包括了與技術(shù)無關(guān)的FlexCell、相關(guān)電路原理圖、符號、測試基準、完整文檔和一份指南。通過使用這款工具包、Titan模擬設計加速器(Titan ADX)、FlexCell以及目標工藝信息和規(guī)格,用戶可創(chuàng)建滿足其特定需求的模擬設計。這種獨特的新方法通過可重復利用FlexCell 電路模塊實現(xiàn)了非常快速的模擬設計。(來自MAGMA)

核高基專項資金或本周開始下?lián)?/p>

預計總金額超過1000億元

近日有消息人士透露,政府相關(guān)部門將于近日向幾家企業(yè)下?lián)?010年“核高基”專項資金,獲得資金的企業(yè)集中在基礎(chǔ)軟件領(lǐng)域。國家“核高基”專項資金分批次下?lián)?,本次下?lián)芑蛟诒局軆?nèi)執(zhí)行。

“核高基”專項將持續(xù)至2020年,中央財政為此安排預算328億元,加上地方財政以及其他配套資金,預計總投入將超過1000億元。

有消息人士近日透露,相關(guān)政府部門上周向多家企業(yè)下發(fā)了有關(guān)2010年“核高基”專項資金的批復函件,具體資金應該在本周內(nèi)下?lián)?。獲得資金的企業(yè)集中在基礎(chǔ)軟件領(lǐng)域,包括國產(chǎn)操作系統(tǒng)廠商、國產(chǎn)數(shù)據(jù)庫廠商以及國產(chǎn)辦公軟件廠商等。(來自半導體行業(yè)網(wǎng))

諾基亞西門子通信投資

半導體創(chuàng)新公司 ClariPhy Inc.

諾基亞西門子通信的投資將支持ClariPhy開發(fā)高集成度單芯片互補金屬氧化物半導體(CMOS)集成電路(IC),用于高性能光網(wǎng)絡數(shù)字信息處理(DSP)。高容量傳輸網(wǎng)絡是交付固定和移動寬帶的關(guān)鍵。IPTV、按需視頻、云計算和服務所需的數(shù)據(jù)量每年以60%的速度增長。

高容量光網(wǎng)絡用于智能傳輸網(wǎng)絡中,可幫助服務提供商的多服務數(shù)據(jù)傳輸網(wǎng)絡實現(xiàn)最低整體擁有成本。智能傳輸網(wǎng)絡基于諾基亞西門子通信規(guī)劃、安裝、整合、提供、維護和優(yōu)化IP集成的能力,可運營多廠商傳輸網(wǎng)絡。除專業(yè)服務外,智能傳輸網(wǎng)絡還包括諾基亞西門子通信的產(chǎn)品。

諾基亞西門子通信對ClariPhy的投資和已經(jīng)安裝的智能傳輸網(wǎng)絡將改善現(xiàn)有的光纖網(wǎng)絡,讓諾基亞西門子通信的帶寬突破100G。此外,該投資能讓諾基亞西門子通信在速度更快的速率卡(400G,1T)開發(fā)中保持領(lǐng)先,解決IP網(wǎng)絡流量的大規(guī)模增長問題。(來自半導體行業(yè)網(wǎng))

2011年全球半導體行業(yè)景氣回顧

分析了年初以來全球半導體行業(yè)的運行數(shù)據(jù)。銷售額方面,需求穩(wěn)健,淡季不淡;產(chǎn)能利用率方面,持續(xù)處于高位,我們預測行業(yè)開工情況將維持良好局面;BB值方面,今年前5個月,美國BB值從0.85的低位持續(xù)反彈;日本BB值1-2月增長勢頭良好,但3月受地震影響開始略有回落,總的來看,半導體行業(yè)資本開支進入穩(wěn)定成長期。

風險提示。日本震后的電力、交通恢復進度如果較慢,將影響全球半導體的原材料、設備供應,以及需求情況;中國大陸人工成本上漲將影響相應公司利潤。(來自半導體行業(yè)網(wǎng))

英飛凌展出配備

SiC JFET的功率模塊等

德國英飛凌科技在東京舉行的展會“智能電網(wǎng)展2011&新一代汽車產(chǎn)業(yè)展2011”,展出了各種功率模塊。在會場上,英飛凌還展示了功率循環(huán)壽命延至原產(chǎn)品10倍的功率模塊,延長了功率循環(huán)壽命。

此次,英飛凌將鍵合引線的材料由鋁改為銅,提高了產(chǎn)品的可靠性。該公司表示,利用銅線的鍵合技術(shù)已用于其MOSFET等,此次就是以該技術(shù)為基礎(chǔ)的。

另外,英飛凌為接合功率半導體芯片和DCB基板采用了名為“擴散焊接方式”的方法。由于與普通方法相比,焊錫層較薄并且熱阻較小等,能提高產(chǎn)品的可靠性。

英飛凌在會場上展示了利用上述.XT技術(shù)的耐壓1200V、電流900A的IGBT功率模塊。(來自半導體行業(yè)網(wǎng))

ADI 公司的1W、2級集成驅(qū)動

放大器覆蓋整個蜂窩頻率范圍

ADI最近推出兩款1W、2級 RF 驅(qū)動放大器 ADL5605和 ADL5606,它們能夠覆蓋無線通信系統(tǒng)所用的整個蜂窩頻率范圍。高集成度放大器 ADL5605(工作頻率范圍700MHz 至1000MHz)和 ADL5606(工作頻率范圍1800MHz 至2700MHz)引腳兼容,易于調(diào)諧,并且集成了兩個增益級;與傳統(tǒng)的分立設計相比,電路板空間大大節(jié)省。

此外,新款 RF 驅(qū)動放大器集成了內(nèi)部有源偏置和快速關(guān)斷功能,支持需要省電模式的應用,或者間歇性發(fā)射信號的無線電能計量等應用。這些高性能寬帶 RF 驅(qū)動放大器非常適合各種有線和無線應用,包括:蜂窩基礎(chǔ)設施;工業(yè)、科研和醫(yī)療(ISM)頻段功率放大器;防務和儀器儀表設備等。 (來自ADI公司)

士蘭微電子通過質(zhì)量/

環(huán)境管理體系監(jiān)督審核

近日士蘭微電子迎來了方圓標志認證浙江審核中心審核組對我司ISO19001-2008版本的第二次監(jiān)督審核。

在審核過程中,審核組檢查了公司質(zhì)量/環(huán)境管理體系覆蓋的產(chǎn)品、過程和區(qū)域,對公司在認證范圍內(nèi)的質(zhì)量/環(huán)境管理體系與審核準則的持續(xù)符合性進行了抽查驗證,并與各部門的當事人進行了交流和現(xiàn)場的抽樣審核。

經(jīng)過兩天的嚴格審查,審核組對公司的質(zhì)量/環(huán)境管理體系運作情況作出了較高評價:公司有關(guān)人員理解標準比較到位,公司的管理體系比較完善,質(zhì)量和環(huán)境管理體系均沒有開具不符合報告,體系運行有效。(來自半導體行業(yè)網(wǎng))

中國電科??低?/p>

全新一代DVR產(chǎn)品

近日,中國電科所屬第52研究所海康威視推出全新一代網(wǎng)絡硬盤錄像機(DVR)產(chǎn)品。該系列DVR在處理性能、系統(tǒng)穩(wěn)定性等多方面獲得革命性突破,給終端用戶帶來全新體驗,重新定義了DVR產(chǎn)品的新高度。

該產(chǎn)品采用領(lǐng)先的3D視頻數(shù)字降噪技術(shù)、圖像倍幀與反隔行算法,搭載創(chuàng)新型高清視頻處理系統(tǒng),使圖像更細膩、更清晰,全新操作界面,讓操作更人性化??赏瑫r支持16路高畫質(zhì)4CIF實時編碼與16路4CIF實時解碼,支持16路高清IPC的接入、存儲和高清解碼顯示;可同時實現(xiàn)16路實時預覽與16路同步實時回放,實現(xiàn)真正的DULLHD雙輸出獨立顯示;支持雙千兆網(wǎng)口,網(wǎng)絡性能強勁,支持網(wǎng)絡容錯、負載均衡、雙網(wǎng)隔離等特點,為多樣化的監(jiān)控網(wǎng)絡提供最貼合的應用方案,適應視頻監(jiān)控大規(guī)模網(wǎng)絡化的發(fā)展趨勢。(來自半導體行業(yè)網(wǎng))

西南集成電路設計有限公司將在

美國TowerJazz工廠生產(chǎn)射頻IC產(chǎn)品

西南集成電路設計有限公司(SWID)是一家本土無晶圓IC設計公司。最近該公司選擇在美國加州紐波特比奇(NewportBeach)進行代工生產(chǎn),利用其鍺硅BiCMOS工藝技術(shù)來制造該公司的射頻IC產(chǎn)品。

TowerJazz宣布了這一合作。Tower半導體于2008年接管了美國捷智科技公司(JazzTechnologiesInc.),包括捷智在紐波特比奇的200毫米晶圓廠。此舉非同一般,標志著由中國臺灣企業(yè)代工無晶圓設計流程的全盤逆轉(zhuǎn)。在此次的事件中,中國設計流程從東方轉(zhuǎn)向西方國家,并于加州制造。這在一定程度上反映了日趨成熟的中國設計以及部分鍺硅制造業(yè)的專業(yè)程度。(來自半導體行業(yè)網(wǎng))

工信部:十一五電子

發(fā)展基金投2.3億做3G研發(fā)

在26日舉行的“十一五”電子信息產(chǎn)業(yè)發(fā)展基金成果匯報展示會上,工業(yè)和信息化部總經(jīng)濟師周子學透露,“十一五”期間,電子發(fā)展基金累計投入34.71億元,安排項目1825個,其中,在3G研發(fā)上的投入為2.3億。

周子學表示,五年來,電子發(fā)展基金累計投入34.71億元,安排項目1825個。其中,在第三代移動通信、發(fā)光二極管、太陽能光伏、信息安全技術(shù)產(chǎn)品等新興領(lǐng)域,分別投入資金2.285億元、5900萬元、3650萬元、2.96億元支持關(guān)鍵技術(shù)研發(fā)。

而在軟件、集成電路、新型顯示器件等核心關(guān)鍵技術(shù)研發(fā)上,電子發(fā)展基金分別投入資金9.31億元、3.96億元、3.68億元,分別安排項目561個、175個、89個,并通過集成電路研發(fā)資金投入19.5億元,支持了209個項目。

據(jù)了解,電子發(fā)展基金設立于1986年,用于支持軟件、集成電路、計算機及網(wǎng)絡設備、通信設備、數(shù)字視聽、基礎(chǔ)元器件等各門類產(chǎn)品和信息技術(shù)推廣應用。(來自中國信息產(chǎn)業(yè)網(wǎng))

英飛凌推出新款

XC2000 16位車用單片機

為了幫助中低檔汽車采用高檔汽車的安全和舒適裝置,同時符合最嚴格的燃耗和尾氣排放要求,英飛凌科技股份公司近日宣布壯大其大獲成功的XC2000車用單片機產(chǎn)品家族,推出成本優(yōu)化型新器件。英飛凌這次壯大XC2000產(chǎn)品家族陣容的主要宗旨是,幫助汽車系統(tǒng)供應商在不引進多個單片機平臺的情況下,擴充其產(chǎn)品陣容并拓寬其性能范圍。英飛凌此舉將為客戶提供伸縮自如的汽車解決方案,其軟硬件重復利用率很高,能夠顯著降低客戶的成本。

新款XC2000 16位器件的典型應用包括:低成本車身控制模塊(BCM)、低成本氣囊或低端引擎管理系統(tǒng)等。為了進一步縮小占板空間,新款XC2000器件采用了成本優(yōu)化的超小型封裝。(來自英飛凌科技)

篇(6)

【關(guān)鍵詞】半橋電路;死區(qū)時間;閾值電壓;比較器;正反饋

A kind of automatic detection and setting dead time circuit designing

HUANG Haiping,JIANG Yanfeng

(Microelectronic research center,North China University of Technology,Beijing 100144,China)

Abstract:This paper introduced a kind of controller circuit which can automaticly set dead time.The controller works in this way that compares the voltage difference between gate and source of MOS tube to threshold voltage.The results of comparing each controls another gate in order to guarantee that the half bridge can not be turned on at the same time.The circuit with positive feedback is used here as to speed up the comparison of the response signal.At last,in the perceptual load,here gives the simulation and experiment results of dead time under the threshold voltage of 1.2V.The simulation results was realized by CSMC 0.5μm CMOS technology.the controller circuit is designed simply,and extra dead time need not to be setted up in the driving circuit.

Key words:Half bridge circuit;Dead time;Threshold voltage;Comparator;Positive feedback

1.引言

高效率的DC-DC變換器得到已經(jīng)廣泛應用,比如手機,個人電腦,通訊設備等。開關(guān)的損耗包括:傳導損耗、開關(guān)損耗、直通損耗等??梢酝ㄟ^優(yōu)化和改善功率管的尺寸和驅(qū)動電路來減小前兩者的損耗。為了減小第三種損耗,就必須設法縮短死區(qū)時間[1]的大小。死區(qū)時間是為了使上下橋臂不會因開關(guān)延遲而導致同時開通而設置的一個時間段。因此,死區(qū)時間的設置,可以有效消除兩個開關(guān)管之間延遲效應,避免直通損壞模塊。如果設置的死區(qū)時間較大,電路工作雖然安全可靠,但是會引入輸出波形的失真,從而影響輸出效率;死區(qū)時間較小,輸出波形較好,但是降低了電路可靠性,所以死區(qū)時間一般為μs級。死區(qū)時間的設置如果由定時器或軟件延時產(chǎn)生,會增加定時器或CPU的負擔。死區(qū)時間的存在,使占空比調(diào)節(jié)范圍縮小,降低了變換器動態(tài)性能;此外,因為開關(guān)器件的關(guān)斷時間隨環(huán)境溫度、工作電流等因素變化很大,致使死區(qū)時間大小不容易掌握。

2.電路設計

2.1 死區(qū)時間設置規(guī)則

功率MOS管有寄生的二極管,稱為體二極管,其恢復時間與存儲在體二極管內(nèi)的多余電荷成正比。理論上,在保證電路工作可靠的情況下,死區(qū)時間越小越好,設置時間短,體二級管的導通時間就小,則其消耗的功耗也就小。死區(qū)時間大時,模塊工作更加可靠,但是體二極管導通時間就大,減小了電路的輸出效率。一般把死區(qū)時間的大小設置在4%到一個周期之內(nèi),遵循規(guī)則如下:

(1)

式(1)中,TD是死區(qū)設置時間,Td(off)為開關(guān)MOS管的關(guān)斷延遲時間,Tf為開關(guān)管的下降時間,Td(on)為開關(guān)管的開通延遲時間[2]。由于工藝廠商的不同,器件本身結(jié)電容放電時間,驅(qū)動參數(shù)有所不同,實際電路中選擇TD值為2(Td(off)+Tf)。圖1為半橋基本電路結(jié)構(gòu)。

2.2 RC設置的延時電路

在目前的大多數(shù)開關(guān)電源芯片電路中,設置死區(qū)時間的常用方法是:對輸入驅(qū)動信號進行一定的延時,使得高電平信號或低電平信號在一個周期時間內(nèi)不完全重合,然后再與先前驅(qū)動信號進行一定的邏輯運算得到所需的死區(qū)時間。由此可以得出,延時單元在設置死區(qū)時間當中,是一個很重要的環(huán)節(jié)。典型的RC電路架構(gòu)如圖2所示。通過設置不同和R值或C值可以得到不同的死區(qū)時間。但是設置較大電容C值時,會增加CMOS反向器的柵極的延時,為了減小這個延時的影響,一般選取的電容值較小,而只是通過較大范圍改變電阻R值。

2.3 死區(qū)時間控制電路設計

圖3就是控制電路的基本框架圖。半橋電路驅(qū)

動的負載為由LCR組成的諧振網(wǎng)絡。諧振阻抗的公式如下:

(2)

所以諧振網(wǎng)絡既可以工作在容性阻抗下,也可以工作在感性阻抗下。

當(3)

驅(qū)動負載表現(xiàn)感性。反之,則表現(xiàn)為容性。上下橋臂的MOS管的柵極各加入一個開關(guān)管。當MOSFET的柵源電壓小于閾值電壓,MOSFET就工作在截止區(qū),不導通的狀態(tài),此時另一個橋臂的MOS管才開始被驅(qū)動,因此就能消除上下橋臂同時導通,避免器件損壞。其具體的工作原理是:假設MOSFET的閾值電壓為Vth。圖3中M1,M2都是NMOS管,都選用NMOS管的原因是其閾值電壓就相同,就可以避免了后面設置比較值的時候需要兩個不同基準電壓。VH,Vf分別為M1管的柵極,源極的電勢,當VH減去Vf得到的電勢差小于M1的閾值電壓時,M1管就不工作。其中,VH和Vf的電勢差通過圖4電路中I1運算放大器搭建的減法電路來實現(xiàn)。因為電阻比例值為1,所以I1的輸出端的V1的大小為(VH-Vf),其值作為I2比較器的正端輸入,負端為半橋電路MOS管閾值電壓大小的直流電壓。如果(VH-Vf)電壓值大于Vth,I2比較器的輸出端VLc輸出高電平,圖3中M4開關(guān)管就導通,M2功率管就不工作。

(VH-Vf)電壓小于Vth,I2比較器的輸出端VLc輸出低電平,M2的柵極控制信號VL就由下橋驅(qū)動電路來驅(qū)動。同理,下橋臂M2管的工作方式與M1管的一樣。當VL的電勢一直大于M2管的閾值電壓時,VHc始終處于高電平,M1的柵極就處于低電平,不工作。僅當VL的電勢小于M2管的閾值電壓時,VH的電勢才由上橋驅(qū)動電路來控制。綜上分析的結(jié)果,M1和M2就不可能有同時導通的情況出現(xiàn),這樣,也沒必要另外設置死區(qū)時間,從而來避免總線Vbus和地之間短路的情況發(fā)生。

2.4 比較器加速電路的設計

基于上面的原理:要求比較器[3]的速度較快,精度較高。圖5電路為一種鎖存結(jié)構(gòu),其采用正反饋特性[4,5]加速比較過程。該鎖存結(jié)構(gòu)是由時鐘控制的電路結(jié)構(gòu),時鐘頻率可取自半橋電路的驅(qū)動頻率(振蕩器的頻率)。鎖存電路為兩級放大電路,第一級為MM3和MM4組成的差分結(jié)構(gòu),輸出為b和a;第二級由MM2和MM1組成的差分結(jié)構(gòu),輸出為單端輸出d端。其工作原理如下:當輸入信號Latch_clk低電平時,MF1,MF2兩個NMOS管柵壓為低電平,兩管截止,不導通。a,b兩點被MB2,MB1拉為高電平,MM1和MM2也不工作。由于MW3和MW4兩管導通,所以d,c都為高電平。當Latch_clk信號從低電平轉(zhuǎn)為高電電平后,MF1,MF2兩管導通,如果此時有2nd_o2>2nd_o1,則I(2nd_o2)>I(2nd_o1),從而b點電位比a點電位下降的快,導致MB1開通的速度更快,使得a點電位上升,進而促使MN2比MN1開通的速度快,進一步的降低b點電位。這是其中的一個正反饋過程。另外,因b點電位迅速下降,MM2電流增加,a點電位上升,使得MM1電流減小,d點電位開始拉高,c點電位開始拉低,于是MW1電流開始減小,MW2電流開始增大,這又是第二個正反饋的過程??偠灾?,該結(jié)構(gòu)采用了兩級正反饋結(jié)構(gòu)加速比較過程。而比較器電路采用普通的二級比較器電路[6]。

3.仿真結(jié)果

圖6代表的是MOS管的柵源電壓和漏電流的關(guān)系曲線圖。從圖中的仿真結(jié)果可以得出,MOS管的閾值電壓為2V左右,所以在圖4中設置的閾值電壓Vth可以參考這個值,但是為了防止MOS管的亞閾值狀態(tài)的出現(xiàn),圖4中Vth比較值設置為1.2V(甚至可以更小點)。

在圖3電路的仿真過程中,半橋電路的驅(qū)動頻

率f選擇為80KHz,電感值L=10μH,電容=2200pF,電阻R=50Ω,得到的仿真結(jié)果如圖7和圖8所示。圖7中,上面的曲線代表的是圖3中上橋臂的VH電壓,下面的曲線為圖3中的下橋臂的VL電壓。從圖7中可以讀出死區(qū)時間為:

Tdead=(7.2829-6.4785)μS

=0.804(μS)

在圖8中,上面曲線代表的是上橋臂柵極電壓VH,下面的曲線為柵極控制信號VHc電壓信號。從圖8的結(jié)果來看,VHc高電平時把VH的電勢拉到最低電平。在圖9中,上面曲線代表的是下橋臂柵極電壓VL,下面的曲線為柵極控制信號VLc電壓信號。從圖8的結(jié)果來看,VLc高電平時把VL的電勢拉到最低電平。在同一時刻,結(jié)合圖7-9的仿真結(jié)果,死區(qū)時間完全只由器件柵極上升延遲和下降延遲決定的。

4.實驗驗證

為驗證所設計電路的正確性,搭建了實驗電路板(有些器件模型選擇與仿真有點出入),并得出了實驗波形如圖10所示。在圖10中,共顯示了四路波形:VH,VL,VHc,VLc。上面兩條曲線分別代表是VH,VL波形(每格2V);下面兩條曲線代表是VHc,VLc波形(每格5V)。從圖中可以得出:VH和VL相交的電壓不超過1.2V,滿足設計要求。

5.結(jié)論

在半橋電路中,利用控制器電路不斷的檢測上下橋的柵源電壓,當柵源電壓差值小于閾值電壓時,MOS管不導通,此時,另一橋臂的MOS管的柵壓才受驅(qū)動電路控制,柵極電壓才開始上升。由此,可以完全避免上下橋臂同時導通的現(xiàn)象出現(xiàn)。從死區(qū)時間的結(jié)果來看,感抗負載下,死區(qū)時間的占空比都不超過10%。此外,通過設置較小的閾值電壓,可以得到更小的死區(qū)時間??刂齐娐吩O計簡單,比較器中添加正反饋特性,主要是增強反應速度,減小比較器電路延遲時間。

參考文獻

[1]Chang J S,Tan M T,Cheng Z H.Analysis and design of power efficient class D amplifyer output stages[J].IEEE Transactions on Circuits and Systems.2000,47(6):897-902.

[2]章建峰.逆變器死區(qū)時間對輸出電壓影響分析[J].電力電子技術(shù).2007,41(8):32-33.

[3]Allen.CMOS Analog Circuits Design[M].BeiJing:House Electronics Industry,2002(2):32-33.

[4]吳曉波.一種高精度動態(tài)CMOS比較器的設計與研制[J].電路與系統(tǒng)學報,2007,

12(4):120-121.

[5]Fayomi C J B,Roberts G W,Sawan MLow power/low voltage high speed CMOS differential track and latch comparator with railto-rail input[C]ISCAS.Geneva,Switzerland,2000:653-655.

[6]Tomas Reiter,Dieter Polenov,Hartmut and Probstle,PWM Dead Time optimization Method for Automotive Multiphase DC/ DC-Converters[J]IEEE trans,Power Electron,2010,25(6):1604-1609.

基金項目:教育部新世紀優(yōu)秀人才計劃資助(2008)。

作者簡介:

篇(7)

關(guān)鍵詞:EDA技術(shù);電子設計;應用

隨著現(xiàn)代社會數(shù)字技術(shù)和信息技術(shù)的不斷發(fā)展進度,我國數(shù)字化電子信息產(chǎn)品也得到一定發(fā)展,電子技術(shù)自動化逐漸成為電子設計的重要基礎(chǔ),在推進電子設計系統(tǒng)穩(wěn)定持續(xù)發(fā)展方面也發(fā)揮著重要的作用。因此積極探討EDA技術(shù)在電子設計領(lǐng)域內(nèi)的實際應用情況,對于提高電子設計水平以及促進電子系統(tǒng)規(guī)?;l(fā)展方面,都具有重要的意義。

1 EDA技術(shù)概述

1.1 簡介

電子設計自動化技術(shù)簡稱EDA技術(shù),是電子技術(shù)及仿真模擬工作的基礎(chǔ)技術(shù),通過可編程邏輯器件在數(shù)字系統(tǒng)中的有效應用,切實提高了電子設計的靈活性和可控性,并且通過可編程邏輯器件的結(jié)構(gòu)及工作方式的重構(gòu),有效的提高了電子設計硬件的效率。尤其是PLD應用的進度,促使其下載方式以及集成規(guī)模等都發(fā)生了一定程度的變化,有效的推動了現(xiàn)代電子技術(shù)的發(fā)展,EDA技術(shù)基于EDA工具軟件平臺,通過對硬件描述語言進行有效應用,以實現(xiàn)系統(tǒng)邏輯描述,從而完成設計文件。EDA技術(shù)也具有自動完成邏輯翻譯、邏輯分割等功能,為保障電子線路系統(tǒng)功能的實現(xiàn)提供可靠的基礎(chǔ)。

1.2 現(xiàn)狀

就EDA技術(shù)的發(fā)展情況來看,半導體工藝技術(shù)的進步,推進了EDA技術(shù)的發(fā)展,當前IC設計產(chǎn)業(yè)在高度發(fā)展的同時也面臨著產(chǎn)品上市周期縮短、成本降低等挑戰(zhàn),這就需要相關(guān)設計人員積極選用高效的EDA技術(shù),全面衡量設計過程中硬件的物理特性對設計時序及功能的潛在影響,并積極選用合適的設計術(shù)語以及抽象形式等數(shù)據(jù)來進行描述設計,以保證電子設計的合理性和可靠性。EDA技術(shù)在電子設計中對測試深驗證亞微米技術(shù)的物理效應能力以及抽象設計能力都有著嚴格的要求。

EDA技術(shù)的發(fā)展,與計算機技術(shù)、電子系統(tǒng)設計等都存在著密切的聯(lián)系,總的來看,EDA技術(shù)的發(fā)展主要分為三個階段,一是計算機輔助階段,主要是在計算機的輔助下對電路原理圖進行編輯和處理,轉(zhuǎn)變傳統(tǒng)的繪圖工作方式,以規(guī)范的PCB布線布局方式來提高電子設計效果。二是計算機輔助工程設計階段,通過邏輯模擬、故障仿真以及定時分析功能,對產(chǎn)品的相關(guān)性能及功能進行提前預知,從而促進電路設計中各項問題的有效解決。三是電子設計自動化階段,通過對高級描述語言及綜合技術(shù)的有效應用,完成設計前期的高層次設計,促進電力設計質(zhì)量和效果的提升。

2 EDA技術(shù)要點

2.1 硬件描述語言

硬件描述語言主要是通過軟件百年城來對電子系統(tǒng)中的電路結(jié)合以及邏輯功能等進行具體描述,為保證EDA技術(shù)在電子設計中的實際應用效果,應當對硬件描述語言進行合理運用,確保其最大程度上滿足的大規(guī)模的電子系統(tǒng)。IE EE是一種全方位的硬件描述語言,以VHDL為硬件描述語言的各種功能,包含多個設計層次,促進系統(tǒng)行為級、邏輯燜雞等設計的實現(xiàn),在實際應用中可以通過數(shù)據(jù)流、結(jié)構(gòu)及行為等三種方式實現(xiàn)對整個項目的混合描述。VHDL硬件描述語言在實際應用中具有良好的移植性,便于工藝之間相互轉(zhuǎn)換,促進系統(tǒng)功能得以實現(xiàn)。

2.2 ASIC技術(shù)

就EDA技術(shù)得總體情況來看,將ASIC芯片合理應用到集成電路設計中,能夠有效的解決電子系統(tǒng)集成電路中存在的可靠性差、體積大等主要問題,促進電子設計的總體效果的提升。在現(xiàn)代社會科學技術(shù)不斷發(fā)展的大環(huán)境下,電子產(chǎn)品市場的門檻不斷提高,ASIC芯片也日趨復雜,主要分為全定制或半定制ASIC及可編程。為保證EDA技術(shù)在電子設計中的實際應用效果,應當盡可能保持所涉及的ASIC芯片獲得最優(yōu)性能,以最大程度上降低技術(shù)耗能、促進EDA技術(shù)的利用率得以有效提升。

3 EDA技術(shù)電子設計流程及應用

3.1 EDA技術(shù)電子設計流程

EDA技術(shù)是系統(tǒng)級的設計方法,是一種層次相對較高的電子設計方式,EDA技術(shù)以概念為驅(qū)動從而使電子設計工作者在設計時無需利用門級原理圖,電子設計工作者在確定設計目標之后就可以用EDA技術(shù)來表述電路,這樣不僅可以減少電路細節(jié)的約束及限制,同時也可以使設計者的設計更具創(chuàng)造性。EDA系統(tǒng)在電子設計人員將概念構(gòu)思及高層次的描述輸入計算機之后在系統(tǒng)規(guī)則下完成對電子產(chǎn)品的設計。

EDA技術(shù)的電子設計工作流程大致包括系統(tǒng)劃分、代碼級功能仿真、VHDL代碼或圖形的輸入、送配前時序仿真及ASIC實現(xiàn)部分。首先,電子設計借助文本或者圖形編輯器呈現(xiàn)出設計描述,也就是實現(xiàn)設計表述。其次,電子設計借助編譯器對設計進行錯排編譯,即輸入HDL程序。然后,設計人員需要溝通軟件和硬件設計,以便實施功能仿真,即綜合。最后,在確認仿真設計無誤時,通過FPGA或CPLD完成邏輯映射操作,即編程下載,系統(tǒng)級設計完成。

3.2 EDA技術(shù)的應用

EDA技術(shù)在電子工程設計中扮演著非常重要的角色,首先,電子自動化技術(shù)可以驗證電路設計方案的正確性,在進行電子設計時,待設計方案確定之后,會利用結(jié)構(gòu)模擬或者系統(tǒng)仿真等方式來驗證設計方案的正確性,在驗證過程中系統(tǒng)中的各個環(huán)節(jié)的傳遞函數(shù)確定之后設計方案便可以實現(xiàn)。這種系統(tǒng)仿真技術(shù)推廣到非電子專業(yè)的系統(tǒng)設計也會得到充分的發(fā)展。EDA技術(shù)在系統(tǒng)進行仿真之后的電路結(jié)構(gòu)進行模擬分析,從而使得電路設計方案的可行性及正確性得到充分的保障。其次,電子自動化字數(shù)也可以對電路特性進行優(yōu)化設計。電路的穩(wěn)定性能受到元器件容差及工作環(huán)境溫度等的影響。在傳統(tǒng)設計過程中難以對電路的整體進行優(yōu)化設計,也無法全面的分析電路穩(wěn)定性的影響因素。EDA技術(shù)中的溫度分析及統(tǒng)計分析等功能的應用則可以全面的分析電路特性影響因素,從而對電路特性進行整體的優(yōu)化設計。最后,電子自動化技術(shù)也可以實現(xiàn)電路特性的全功能模擬測試。

3.3 以EDA技術(shù)為基礎(chǔ)電子設計的注意事項

在利用EDA技術(shù)進行電子設計時,首先應充分的考慮電子電路延時的不確定性,以及在系統(tǒng)進行自動編譯時會被冗余的電路簡化,因此,在應用EDA技術(shù)時,應注意采用的反向器個數(shù)避為偶數(shù),同時以并聯(lián)的方式將反向器連接成延時電路。其次,在設計過程中輸入的弓}腳不能處于置空狀態(tài),要保證有信號源來驅(qū)動引腳,及保持部分不用的弓}腳保持接地,同時,器件的電源應始終與地線引腳保持相連,彼此之問可以進行濾波及去禍。最后,在設計中藥避免器件過于發(fā)熱。

結(jié)束語

當前社會發(fā)展形勢下,EDA技術(shù)逐漸成為電子設計過程中的核心技術(shù),并發(fā)展為電子產(chǎn)品研制的源動力,通過EDA技術(shù)的有效應用,一定程度上提高了電子設計的整體水平,推進電子系統(tǒng)向集成化與規(guī)?;较虬l(fā)展,為高性能電子產(chǎn)品的開發(fā)奠定了堅實的基礎(chǔ)。

參考文獻

[1]朱金明,黃理瑞.淺析電子設計中EDA技術(shù)的應用[J].數(shù)字技術(shù)與應用,2014(7).